Skip to content

Commit

Permalink
Merge branch 'recon_1/dev'
Browse files Browse the repository at this point in the history
  • Loading branch information
van committed Jul 29, 2017
2 parents 488ebe6 + a925d7e commit 758abb6
Show file tree
Hide file tree
Showing 15 changed files with 15,018 additions and 5,210 deletions.
4 changes: 4 additions & 0 deletions hw/recon_1/civ10/output_files/convert_sof_to_hex.sh
Original file line number Diff line number Diff line change
@@ -0,0 +1,4 @@
#!/bin/bash
echo "Note: you need to be in nios2_command_shell to run this script"
sof2flash --input=recon_1.sof --output=recon_1.flash --epcs --programmingmode=as
nios2-elf-objcopy -I srec -O ihex recon_1.flash recon_1.hex
35 changes: 35 additions & 0 deletions hw/recon_1/civ10/output_files/generate_hw_sw_bundle_jic.cof
Original file line number Diff line number Diff line change
@@ -0,0 +1,35 @@
<?xml version="1.0" encoding="US-ASCII" standalone="yes"?>
<cof>
<eprom_name>EPCS16</eprom_name>
<flash_loader_device>EP4CE10</flash_loader_device>
<output_filename>output_files/recon_1.jic</output_filename>
<n_pages>0</n_pages>
<width>1</width>
<mode>7</mode>
<hex_block>
<hex_filename>/home/jeff/fpga_workspace/GitIPCores/recon/hw/recon_1/civ10/release/software.hex</hex_filename>
<hex_addressing>absolute</hex_addressing>
<hex_little_endian>0</hex_little_endian>
</hex_block>
<hex_block>
<hex_filename>/home/jeff/fpga_workspace/GitIPCores/recon/hw/recon_1/civ10/output_files/recon_1.hex</hex_filename>
<hex_addressing>absolute</hex_addressing>
<hex_little_endian>0</hex_little_endian>
</hex_block>
<version>10</version>
<create_cvp_file>0</create_cvp_file>
<create_hps_iocsr>0</create_hps_iocsr>
<auto_create_rpd>0</auto_create_rpd>
<rpd_little_endian>1</rpd_little_endian>
<options>
<map_file>1</map_file>
</options>
<advanced_options>
<ignore_epcs_id_check>2</ignore_epcs_id_check>
<ignore_condone_check>2</ignore_condone_check>
<plc_adjustment>0</plc_adjustment>
<post_chain_bitstream_pad_bytes>-1</post_chain_bitstream_pad_bytes>
<post_device_bitstream_pad_bytes>-1</post_device_bitstream_pad_bytes>
<bitslice_pre_padding>1</bitslice_pre_padding>
</advanced_options>
</cof>
6 changes: 4 additions & 2 deletions hw/recon_1/civ10/recon_1.qsf
Original file line number Diff line number Diff line change
Expand Up @@ -42,7 +42,7 @@ set_global_assignment -name DEVICE EP4CE10E22C8
set_global_assignment -name TOP_LEVEL_ENTITY recon_1_top
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.0.0
set_global_assignment -name PROJECT_CREATION_TIME_DATE "23:28:18 MAY 18, 2017"
set_global_assignment -name LAST_QUARTUS_VERSION 16.0.0
set_global_assignment -name LAST_QUARTUS_VERSION "17.0.0 Lite Edition"
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
Expand Down Expand Up @@ -150,7 +150,6 @@ set_global_assignment -name SDC_FILE ../timing_constraints/recon_1.sdc
set_global_assignment -name QIP_FILE ../../cmn/util/recon_util.qip
set_global_assignment -name QSYS_FILE ../recon_1.qsys
set_global_assignment -name VERILOG_FILE ../recon_1_top.v
set_global_assignment -name QIP_FILE primitives/ddro_s.qip
set_global_assignment -name CONFIGURATION_VCCIO_LEVEL 3.3V
set_global_assignment -name ENABLE_CONFIGURATION_PINS OFF
set_global_assignment -name ENABLE_BOOT_SEL_PIN OFF
Expand Down Expand Up @@ -372,5 +371,8 @@ set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to epcs_0_dclk
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to epcs_0_sce
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to epcs_0_sdo
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to port_0_io[*]
set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION ON
set_global_assignment -name GENERATE_HEX_FILE OFF
set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE EPCS16
set_global_assignment -name SLD_FILE db/cpu_dbus_ibus_auto_stripped.stp
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
9,254 changes: 4,504 additions & 4,750 deletions hw/recon_1/civ10/release/recon_1.flash

Large diffs are not rendered by default.

Loading

0 comments on commit 758abb6

Please sign in to comment.