Skip to content

Commit

Permalink
Update to Quartus17 and change method of generating programming files
Browse files Browse the repository at this point in the history
  • Loading branch information
jefflieu committed Jul 29, 2017
1 parent 7292271 commit a925d7e
Show file tree
Hide file tree
Showing 13 changed files with 14,885 additions and 4,883 deletions.
4 changes: 4 additions & 0 deletions hw/recon_1/civ10/output_files/convert_sof_to_hex.sh
Original file line number Diff line number Diff line change
@@ -0,0 +1,4 @@
#!/bin/bash
echo "Note: you need to be in nios2_command_shell to run this script"
sof2flash --input=recon_1.sof --output=recon_1.flash --epcs --programmingmode=as
nios2-elf-objcopy -I srec -O ihex recon_1.flash recon_1.hex
Original file line number Diff line number Diff line change
Expand Up @@ -2,17 +2,20 @@
<cof>
<eprom_name>EPCS16</eprom_name>
<flash_loader_device>EP4CE10</flash_loader_device>
<output_filename>output_files/output_file.jic</output_filename>
<n_pages>1</n_pages>
<output_filename>output_files/recon_1.jic</output_filename>
<n_pages>0</n_pages>
<width>1</width>
<mode>7</mode>
<sof_data>
<user_name>Page_0</user_name>
<page_flags>1</page_flags>
<bit0>
<sof_filename>/home/jeff/fpga_workspace/GitIPCores/recon/hw/recon_1/civ10/output_files/recon_1.sof<compress_bitstream>1</compress_bitstream></sof_filename>
</bit0>
</sof_data>
<hex_block>
<hex_filename>/home/jeff/fpga_workspace/GitIPCores/recon/hw/recon_1/civ10/release/software.hex</hex_filename>
<hex_addressing>absolute</hex_addressing>
<hex_little_endian>0</hex_little_endian>
</hex_block>
<hex_block>
<hex_filename>/home/jeff/fpga_workspace/GitIPCores/recon/hw/recon_1/civ10/output_files/recon_1.hex</hex_filename>
<hex_addressing>absolute</hex_addressing>
<hex_little_endian>0</hex_little_endian>
</hex_block>
<version>10</version>
<create_cvp_file>0</create_cvp_file>
<create_hps_iocsr>0</create_hps_iocsr>
Expand Down
2 changes: 2 additions & 0 deletions hw/recon_1/civ10/output_files/program_fpga_image_to_flash.sh
Original file line number Diff line number Diff line change
@@ -0,0 +1,2 @@
#!/bin/bash
nios2-flash-programmer recon_1.flash --epcs --base=0x02000000
5 changes: 4 additions & 1 deletion hw/recon_1/civ10/recon_1.qsf
Original file line number Diff line number Diff line change
Expand Up @@ -42,7 +42,7 @@ set_global_assignment -name DEVICE EP4CE10E22C8
set_global_assignment -name TOP_LEVEL_ENTITY recon_1_top
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.0.0
set_global_assignment -name PROJECT_CREATION_TIME_DATE "23:28:18 MAY 18, 2017"
set_global_assignment -name LAST_QUARTUS_VERSION 16.0.0
set_global_assignment -name LAST_QUARTUS_VERSION "17.0.0 Lite Edition"
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
Expand Down Expand Up @@ -371,5 +371,8 @@ set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to epcs_0_dclk
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to epcs_0_sce
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to epcs_0_sdo
set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to port_0_io[*]
set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION ON
set_global_assignment -name GENERATE_HEX_FILE OFF
set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE EPCS16
set_global_assignment -name SLD_FILE db/cpu_dbus_ibus_auto_stripped.stp
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
3 changes: 0 additions & 3 deletions hw/recon_1/civ10/release/program_fpga_image_to_flash.sh

This file was deleted.

8,976 changes: 4,484 additions & 4,492 deletions hw/recon_1/civ10/release/recon_1.flash

Large diffs are not rendered by default.

Loading

0 comments on commit a925d7e

Please sign in to comment.