Skip to content

Commit

Permalink
hack: hacks for loopback simulation
Browse files Browse the repository at this point in the history
  • Loading branch information
schnommus committed Sep 21, 2023
1 parent 052d6b0 commit e43ff06
Show file tree
Hide file tree
Showing 3 changed files with 7 additions and 7 deletions.
8 changes: 4 additions & 4 deletions gateware/cal/cal.sv
Original file line number Diff line number Diff line change
Expand Up @@ -107,10 +107,10 @@ always_ff @(posedge clk_256fs) begin
end
CAL_ST_OUT: begin
// Calibrated input samples are zeroed if jack disconnected.
out0 <= jack[0] ? out[0][W-1:0] : 0;
out1 <= jack[1] ? out[1][W-1:0] : 0;
out2 <= jack[2] ? out[2][W-1:0] : 0;
out3 <= jack[3] ? out[3][W-1:0] : 0;
out0 <= out[0][W-1:0];
out1 <= out[1][W-1:0];
out2 <= out[2][W-1:0];
out3 <= out[3][W-1:0];
out4 <= out[4][W-1:0];
out5 <= out[5][W-1:0];
out6 <= out[6][W-1:0];
Expand Down
4 changes: 2 additions & 2 deletions gateware/cal/cal_mem.hex
Original file line number Diff line number Diff line change
@@ -1,4 +1,4 @@
// Input calibration constants
@00000000 11c0 650 10b2 64f 114a 650 1134 64f
@00000000 0 400 0 400 0 400 0 400
// Output calibration constants
@00000008 f6e 3ed e53 3ef f3d 3ee fa0 3ef
@00000008 0 400 0 400 0 400 0 400
2 changes: 1 addition & 1 deletion gateware/drivers/ak4619.sv
Original file line number Diff line number Diff line change
Expand Up @@ -75,7 +75,7 @@ always_ff @(posedge clk_256fs) begin
adc_words[channel] <= 0;
end
if (bit_counter <= W) begin
adc_words[channel][W - bit_counter - 1] <= sdout1;
adc_words[channel][W - bit_counter] <= sdout1;
end
end else begin
// Clock out W bits
Expand Down

0 comments on commit e43ff06

Please sign in to comment.