-
Notifications
You must be signed in to change notification settings - Fork 530
Commit
This commit does not belong to any branch on this repository, and may belong to a fork outside of the repository.
Merge remote-tracking branch 'origin/master' into drt_minor_refactoring
- Loading branch information
Showing
27 changed files
with
145,911 additions
and
39 deletions.
There are no files selected for viewing
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,101 @@ | ||
[INFO ODB-0227] LEF file: Nangate45/Nangate45.lef, created 22 layers, 27 vias, 135 library cells | ||
[INFO ODB-0227] LEF file: Nangate45/Nangate45_lvt.lef, created 135 library cells | ||
[INFO CTS-0210] Clock buffers will be chosen from library NangateOpenCellLibrary_lvt | ||
[INFO CTS-0050] Root buffer is CLKBUF_X1_L. | ||
[INFO CTS-0051] Sink buffer is CLKBUF_X1_L. | ||
[INFO CTS-0052] The following clock buffers will be used for CTS: | ||
CLKBUF_X1_L | ||
[INFO CTS-0049] Characterization buffer is CLKBUF_X1_L. | ||
[INFO CTS-0007] Net "clk" found for clock "clk". | ||
[INFO CTS-0010] Clock net "clk" has 151 sinks. | ||
[INFO CTS-0010] Clock net "CELL/clk2" has 150 sinks. | ||
[INFO CTS-0008] TritonCTS found 2 clock nets. | ||
[INFO CTS-0097] Characterization used 1 buffer(s) types. | ||
[INFO CTS-0200] 0 placement blockages have been identified. | ||
[INFO CTS-0201] 0 placed hard macros will be treated like blockages. | ||
[INFO CTS-0027] Generating H-Tree topology for net clk. | ||
[INFO CTS-0028] Total number of sinks: 151. | ||
[INFO CTS-0030] Number of static layers: 0. | ||
[INFO CTS-0020] Wire segment unit: 14000 dbu (7 um). | ||
[INFO CTS-0023] Original sink region: [(8785, 6785), (197672, 95673)]. | ||
[INFO CTS-0024] Normalized sink region: [(0.6275, 0.484643), (14.1194, 6.83379)]. | ||
[INFO CTS-0025] Width: 13.4919. | ||
[INFO CTS-0026] Height: 6.3491. | ||
Level 1 | ||
Direction: Horizontal | ||
Sinks per sub-region: 76 | ||
Sub-region size: 6.7460 X 6.3491 | ||
[INFO CTS-0034] Segment length (rounded): 4. | ||
Level 2 | ||
Direction: Vertical | ||
Sinks per sub-region: 38 | ||
Sub-region size: 6.7460 X 3.1746 | ||
[INFO CTS-0034] Segment length (rounded): 1. | ||
Level 3 | ||
Direction: Horizontal | ||
Sinks per sub-region: 19 | ||
Sub-region size: 3.3730 X 3.1746 | ||
[INFO CTS-0034] Segment length (rounded): 1. | ||
Level 4 | ||
Direction: Vertical | ||
Sinks per sub-region: 10 | ||
Sub-region size: 3.3730 X 1.5873 | ||
[INFO CTS-0034] Segment length (rounded): 1. | ||
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. | ||
[INFO CTS-0035] Number of sinks covered: 151. | ||
[INFO CTS-0200] 0 placement blockages have been identified. | ||
[INFO CTS-0201] 0 placed hard macros will be treated like blockages. | ||
[INFO CTS-0027] Generating H-Tree topology for net CELL\/clk2. | ||
[INFO CTS-0028] Total number of sinks: 150. | ||
[INFO CTS-0030] Number of static layers: 0. | ||
[INFO CTS-0020] Wire segment unit: 14000 dbu (7 um). | ||
[INFO CTS-0023] Original sink region: [(8785, 95673), (197672, 184561)]. | ||
[INFO CTS-0024] Normalized sink region: [(0.6275, 6.83379), (14.1194, 13.1829)]. | ||
[INFO CTS-0025] Width: 13.4919. | ||
[INFO CTS-0026] Height: 6.3491. | ||
Level 1 | ||
Direction: Horizontal | ||
Sinks per sub-region: 75 | ||
Sub-region size: 6.7460 X 6.3491 | ||
[INFO CTS-0034] Segment length (rounded): 4. | ||
Level 2 | ||
Direction: Vertical | ||
Sinks per sub-region: 38 | ||
Sub-region size: 6.7460 X 3.1746 | ||
[INFO CTS-0034] Segment length (rounded): 1. | ||
Level 3 | ||
Direction: Horizontal | ||
Sinks per sub-region: 19 | ||
Sub-region size: 3.3730 X 3.1746 | ||
[INFO CTS-0034] Segment length (rounded): 1. | ||
Level 4 | ||
Direction: Vertical | ||
Sinks per sub-region: 10 | ||
Sub-region size: 3.3730 X 1.5873 | ||
[INFO CTS-0034] Segment length (rounded): 1. | ||
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. | ||
[INFO CTS-0035] Number of sinks covered: 150. | ||
[INFO CTS-0018] Created 17 clock buffers. | ||
[INFO CTS-0012] Minimum number of buffers in the clock path: 2. | ||
[INFO CTS-0013] Maximum number of buffers in the clock path: 2. | ||
[INFO CTS-0015] Created 17 clock nets. | ||
[INFO CTS-0016] Fanout distribution for the current clock = 7:1, 8:4, 9:5, 10:3, 12:2, 13:1.. | ||
[INFO CTS-0017] Max level of the clock tree: 4. | ||
[INFO CTS-0018] Created 17 clock buffers. | ||
[INFO CTS-0012] Minimum number of buffers in the clock path: 2. | ||
[INFO CTS-0013] Maximum number of buffers in the clock path: 2. | ||
[INFO CTS-0015] Created 17 clock nets. | ||
[INFO CTS-0016] Fanout distribution for the current clock = 7:3, 8:2, 9:4, 10:4, 12:2, 13:1.. | ||
[INFO CTS-0017] Max level of the clock tree: 4. | ||
[INFO CTS-0098] Clock net "clk" | ||
[INFO CTS-0099] Sinks 166 | ||
[INFO CTS-0100] Leaf buffers 0 | ||
[INFO CTS-0101] Average sink wire length 121.61 um | ||
[INFO CTS-0102] Path depth 2 - 2 | ||
[INFO CTS-0207] Leaf load cells 30 | ||
[INFO CTS-0098] Clock net "CELL\/clk2" | ||
[INFO CTS-0099] Sinks 165 | ||
[INFO CTS-0100] Leaf buffers 0 | ||
[INFO CTS-0101] Average sink wire length 66.44 um | ||
[INFO CTS-0102] Path depth 2 - 2 | ||
[INFO CTS-0207] Leaf load cells 30 |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,19 @@ | ||
source "helpers.tcl" | ||
source "cts-helpers.tcl" | ||
|
||
read_liberty Nangate45/Nangate45_typ.lib | ||
read_liberty Nangate45/Nangate45_lvt.lib | ||
read_lef Nangate45/Nangate45.lef | ||
read_lef Nangate45/Nangate45_lvt.lef | ||
|
||
set block [make_array 300 200000 200000 150] | ||
|
||
sta::db_network_defined | ||
|
||
create_clock -period 5 clk | ||
|
||
set_wire_rc -clock -layer metal5 | ||
|
||
clock_tree_synthesis -library NangateOpenCellLibrary_lvt | ||
|
||
|
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Oops, something went wrong.