Skip to content

Commit

Permalink
chore: fuse-soc for socet
Browse files Browse the repository at this point in the history
  • Loading branch information
nickelpro committed Aug 1, 2023
1 parent 0a90cf0 commit 5b6475c
Show file tree
Hide file tree
Showing 2 changed files with 18 additions and 3 deletions.
15 changes: 15 additions & 0 deletions rtl/AHBUart.core
Original file line number Diff line number Diff line change
@@ -0,0 +1,15 @@
CAPI=2:
name: socet:AHBUart:1.0.0
description: AHB Uart

filesets:
rtl:
files:
- AHBUart.sv
file_type: systemVerilogSource

targets:
default: &default
filesets:
- rtl
toplevel: AHBUart
6 changes: 3 additions & 3 deletions vcpkg.json
Original file line number Diff line number Diff line change
@@ -1,8 +1,8 @@
{
"name": "nyu-template",
"name": "ahb-uart",
"version": "1.0.0",
"description": "NYU Processor Design's Template Repo",
"homepage": "https://github.com/NYU-Processor-Design/component-template",
"description": "AHB Uart",
"homepage": "https://github.com/NYU-Processor-Design/AHBUart",
"maintainers": [],
"license": "CC0-1.0",
"dependencies": [
Expand Down

0 comments on commit 5b6475c

Please sign in to comment.