{"payload":{"pageCount":2,"repositories":[{"type":"Public","name":"Validation","owner":"os-fpga","isFork":false,"description":"Raptor Compiler Validation tests","allTopics":["sw"],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":1,"issueCount":0,"starsCount":2,"forksCount":14,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-20T12:23:44.472Z"}},{"type":"Public","name":"yosys_verific_rs","owner":"os-fpga","isFork":false,"description":"Yosys + (Optional) Verific Integration","allTopics":["sw"],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":1,"issueCount":0,"starsCount":4,"forksCount":6,"license":"Other","participation":[21,0,15,8,4,8,10,13,0,1,4,10,19,18,0,10,12,35,6,10,23,11,43,15,48,18,41,39,13,16,21,17,25,46,37,16,10,26,6,23,49,28,38,50,16,11,8,20,24,14,15,27],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-20T12:21:07.975Z"}},{"type":"Public","name":"zephyr_rs","owner":"os-fpga","isFork":true,"description":"Primary Git Repository for the Zephyr Project. Zephyr is a new generation, scalable, optimized, secure RTOS for multiple hardware architectures.","allTopics":[],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":1,"issueCount":0,"starsCount":0,"forksCount":6450,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-20T11:41:04.483Z"}},{"type":"Public","name":"device_modeling","owner":"os-fpga","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":8,"license":"Other","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,8,7,2,3,5,3,2,4,8,5,6,2,5,0,0,8,2,13,7,19,2,2,15,7,11,6,1,10,8,9,8,3,4,2],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-20T10:37:33.551Z"}},{"type":"Public","name":"yosys-rs-plugin","owner":"os-fpga","isFork":false,"description":"Rapidsilicon's Yosys Plugin","allTopics":["sw"],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":1,"issueCount":0,"starsCount":0,"forksCount":4,"license":"Other","participation":[9,0,13,0,10,15,13,19,2,4,14,19,17,8,2,5,13,9,2,5,6,7,9,4,4,4,20,18,6,4,10,9,1,3,11,6,16,31,8,9,20,33,22,20,2,0,0,12,9,1,9,6],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-20T09:48:08.571Z"}},{"type":"Public","name":"Backend","owner":"os-fpga","isFork":false,"description":"Compiler backend from packing to bitstream generation.","allTopics":["routing","packing","sw","placement","timing-analysis","bitstream-generation","fpga-complete-flow"],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":2,"issueCount":0,"starsCount":3,"forksCount":3,"license":"Other","participation":[39,10,19,5,8,4,4,16,12,22,24,24,0,0,0,10,14,9,10,37,10,18,14,31,25,17,8,16,8,10,23,25,14,16,2,10,14,10,21,12,24,16,21,16,16,22,12,6,4,18,21,33],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-20T06:42:58.244Z"}},{"type":"Public","name":"rapid_power_estimator","owner":"os-fpga","isFork":false,"description":"Rapid Power Estimator For Raptor","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":2,"issueCount":0,"starsCount":1,"forksCount":2,"license":"Other","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,5,6,11,10,6,4,1,4,4,5,8,2,2,3,4,3,1,3,5,3,4,4,8,3,10,11,0,0,1,1,8,10,11],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-20T06:34:06.184Z"}},{"type":"Public","name":"Raptor","owner":"os-fpga","isFork":false,"description":"Raptor end-to-end FPGA Compiler and GUI","allTopics":["sw"],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":1,"issueCount":1,"starsCount":61,"forksCount":22,"license":"Other","participation":[34,18,14,10,13,9,24,35,7,10,32,38,11,10,8,7,36,50,52,51,16,10,20,22,31,19,22,23,10,18,18,17,22,25,21,25,21,24,20,18,27,27,20,20,5,8,8,15,29,17,22,21],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-20T05:36:40.165Z"}},{"type":"Public","name":"Jira_Testcase","owner":"os-fpga","isFork":false,"description":"","allTopics":["sw"],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":1,"issueCount":0,"starsCount":0,"forksCount":16,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-20T05:07:00.568Z"}},{"type":"Public","name":"Raptor_Tools","owner":"os-fpga","isFork":false,"description":"","allTopics":["sw"],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":3,"license":"Other","participation":[30,6,7,39,13,9,20,14,2,6,10,11,22,11,2,4,20,15,11,33,11,17,39,2,20,1,10,6,0,1,8,4,4,0,0,0,2,10,16,0,4,2,4,2,0,0,0,0,0,49,13,18],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-20T04:52:10.458Z"}},{"type":"Public","name":"yosys_rs","owner":"os-fpga","isFork":false,"description":"Raptor's Yosys hard fork. Contains optimizations","allTopics":["sw"],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":0,"issueCount":1,"starsCount":1,"forksCount":4,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-18T06:58:46.213Z"}},{"type":"Public","name":"FOEDAG_rs","owner":"os-fpga","isFork":false,"description":"Raptor's GUI","allTopics":["sw"],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":0,"issueCount":0,"starsCount":5,"forksCount":4,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-17T23:49:17.566Z"}},{"type":"Public","name":"FOEDAG","owner":"os-fpga","isFork":false,"description":"Framework Open EDA Gui","allTopics":["os","sw"],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":0,"issueCount":14,"starsCount":58,"forksCount":29,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-17T22:25:26.660Z"}},{"type":"Public","name":"FPGA_PRIMITIVES_MODELS","owner":"os-fpga","isFork":false,"description":"","allTopics":["sw"],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":5,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-16T17:54:32.183Z"}},{"type":"Public","name":"ArchBench","owner":"os-fpga","isFork":false,"description":"Architecture file validation testcase - RTL to Bitstream simulation flow","allTopics":["sw"],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":2,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-16T06:56:15.335Z"}},{"type":"Public","name":"IP_Catalog","owner":"os-fpga","isFork":false,"description":"IP Catalog for Raptor.","allTopics":["sw"],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":4,"forksCount":8,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-13T14:18:23.328Z"}},{"type":"Public","name":"1st-CLaaS","owner":"os-fpga","isFork":false,"description":"Framework for developing and deploying FPGA logic in the cloud as a microservice for web and cloud applications","allTopics":["os"],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":21,"issueCount":15,"starsCount":196,"forksCount":42,"license":"BSD 3-Clause \"New\" or \"Revised\" License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-01T18:14:30.598Z"}},{"type":"Public","name":"Virtual-FPGA-Lab","owner":"os-fpga","isFork":false,"description":"This repository contains the codebase for Virtual FPGA Lab in Makerchip contributing as a participant in Google Summer of Code 2021, under FOSSi Foundation.","allTopics":["open-source","os","gsoc","tl-verilog","makerchip","virtual-fpga"],"primaryLanguage":{"name":"Tcl","color":"#e4cc98"},"pullRequestCount":3,"issueCount":1,"starsCount":133,"forksCount":23,"license":"MIT License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-07-12T14:50:19.746Z"}},{"type":"Public","name":"RTL_Benchmark","owner":"os-fpga","isFork":false,"description":"This repository contains the benchmarks.","allTopics":["sw"],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":4,"starsCount":4,"forksCount":3,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-30T05:31:32.848Z"}},{"type":"Public","name":"raptor_downloader","owner":"os-fpga","isFork":false,"description":"","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-10T15:18:09.996Z"}},{"type":"Public","name":"post_build_artifacts","owner":"os-fpga","isFork":false,"description":"","allTopics":["sw"],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":0,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-07T16:05:40.757Z"}},{"type":"Public","name":"testPR","owner":"os-fpga","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Shell","color":"#89e051"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-04-18T14:33:46.841Z"}},{"type":"Public","name":"litex_reference_designs","owner":"os-fpga","isFork":false,"description":"Litex Reference Designs provides reference designs created out of IP Catalog using Litex integration capabilities.","allTopics":["sw"],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":1,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-04-15T06:51:52.790Z"}},{"type":"Public","name":"logic_synthesis-rs","owner":"os-fpga","isFork":false,"description":"","allTopics":["sw"],"primaryLanguage":{"name":"CMake","color":"#DA3434"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":1,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-02-16T09:19:23.747Z"}},{"type":"Public","name":"testlic1","owner":"os-fpga","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Shell","color":"#89e051"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-01-24T18:04:28.087Z"}},{"type":"Public","name":"abc-rs","owner":"os-fpga","isFork":false,"description":"","allTopics":["synthesis","sw"],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":0,"issueCount":2,"starsCount":0,"forksCount":0,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-01-19T00:48:56.744Z"}},{"type":"Public","name":"axi_i2c_bridge","owner":"os-fpga","isFork":false,"description":"","allTopics":["hw"],"primaryLanguage":{"name":"SystemVerilog","color":"#DAE1C2"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":1,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-01-16T11:27:25.487Z"}},{"type":"Public","name":"zephyr-rapidsi-dev","owner":"os-fpga","isFork":false,"description":"","allTopics":["embedded-sw"],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":3,"issueCount":0,"starsCount":2,"forksCount":1,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-01-16T11:17:11.946Z"}},{"type":"Public","name":"tcl","owner":"os-fpga","isFork":true,"description":"The Tcl Core. (Mirror of core.tcl-lang.org) ","allTopics":["sw"],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":185,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-01-16T11:07:31.136Z"}},{"type":"Public","name":"openocd","owner":"os-fpga","isFork":true,"description":"Official OpenOCD Read-Only Mirror (RapidSilicon Forked)","allTopics":["sw"],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":778,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-01-16T11:00:31.523Z"}}],"repositoryCount":39,"userInfo":null,"searchable":true,"definitions":[],"typeFilters":[{"id":"all","text":"All"},{"id":"public","text":"Public"},{"id":"source","text":"Sources"},{"id":"fork","text":"Forks"},{"id":"archived","text":"Archived"},{"id":"template","text":"Templates"}],"compactMode":false},"title":"os-fpga repositories"}