diff --git a/User-Manual/Plugins/Neuropixels-PXI.html b/User-Manual/Plugins/Neuropixels-PXI.html index 8ff345e..08be8be 100644 --- a/User-Manual/Plugins/Neuropixels-PXI.html +++ b/User-Manual/Plugins/Neuropixels-PXI.html @@ -774,45 +774,45 @@

Built-in self tests

Test probe signal

30 s

-

Analyzes if the probe performance falls within a specified tolerance range, based on a signal generated by the headstage

+

Analyzes if the probe performance falls within a specified tolerance range, based on a signal generated by the headstage. Probes that are fully functional can still fail this test, so it’s not a definitive indicator of probe health.

Test probe noise

30 s

-

Calculates probe noise levels when electrode inputs are shorted to ground

+

Calculates probe noise levels when electrode inputs are shorted to ground. Similar to the probe signal test, this test is not a definitive indicator of probe health, so failures can be safely ignored.

Test PSB bus

<1 s

-

Verifies whether signals are transmitted accurately to the headstage

+

Verifies whether signals are transmitted accurately to the headstage via the parallel serial bus. If this test fails, it usually indicates that the probe is not properly seated in the headstage.

Test shift registers

1 s

-

Verifies the functionality of the shank and base shift registers

+

Verifies the functionality of the shank and base shift registers. If this test fails, it means the probe electronics have become critically damaged. Even if data is being transmitted, there’s a possibility that it may be corrupted.

Test EEPROM

1 s

-

Tests the EEPROM memory storage on the flex, headstage, and BSC

+

Tests the EEPROM memory storage on the flex, headstage, and BSC.

Test I2C

<1 s

-

Verifies the functionality of the I2C memory map

+

Verifies the functionality of the probe’s I2C interface. This interface must be intact for proper functioning of the probe.

Test Serdes

<1 s

-

Tests the integrity of the serial communication over the probe cable

+

Tests the integrity of the serial communication over the probe cable.

Test Heartbeat

3 s

-

Tests whether the heartbeat signal between the headstage and BSC is working properly

+

Checks for a 1 Hz heartbeat signal between the headstage and BSC. This test indicates whether basic communication between the headstage and basestation is working.

Test Basestation

<1 s

-

Tests the BSC board

+

Tests the connectivity between the computer and the basestation FPGA board via the PXIe interface.

Note

-

If the “probe signal” and “probe noise” tests fail, it does not necessarily indicate that the probe is broken. If your probe is successfully transmitting data, the outcome of these tests can be ignored.

+

If the “probe signal” and “probe noise” tests fail, it does not necessarily indicate that the probe is broken. If your probe is successfully transmitting data, the outcome of all of these tests (except the shift register test) can be safely ignored.

diff --git a/_sources/User-Manual/Plugins/Neuropixels-PXI.rst.txt b/_sources/User-Manual/Plugins/Neuropixels-PXI.rst.txt index ffc6063..f42d0bc 100644 --- a/_sources/User-Manual/Plugins/Neuropixels-PXI.rst.txt +++ b/_sources/User-Manual/Plugins/Neuropixels-PXI.rst.txt @@ -270,17 +270,17 @@ To run each test, select one from the drop-down menu, and click the "RUN" button :header: "Name", "Duration", "Purpose" :widths: 20, 20, 70 - "Test probe signal", "30 s", "Analyzes if the probe performance falls within a specified tolerance range, based on a signal generated by the headstage" - "Test probe noise", "30 s", "Calculates probe noise levels when electrode inputs are shorted to ground" - "Test PSB bus", "<1 s", "Verifies whether signals are transmitted accurately to the headstage" - "Test shift registers", "1 s", "Verifies the functionality of the shank and base shift registers" - "Test EEPROM", "1 s", "Tests the EEPROM memory storage on the flex, headstage, and BSC" - "Test I2C", "<1 s", "Verifies the functionality of the I2C memory map" - "Test Serdes", "<1 s", "Tests the integrity of the serial communication over the probe cable" - "Test Heartbeat", "3 s", "Tests whether the heartbeat signal between the headstage and BSC is working properly" - "Test Basestation", "<1 s", "Tests the BSC board" - -.. note:: If the "probe signal" and "probe noise" tests fail, it does not necessarily indicate that the probe is broken. If your probe is successfully transmitting data, the outcome of these tests can be ignored. + "Test probe signal", "30 s", "Analyzes if the probe performance falls within a specified tolerance range, based on a signal generated by the headstage. Probes that are fully functional can still fail this test, so it's not a definitive indicator of probe health." + "Test probe noise", "30 s", "Calculates probe noise levels when electrode inputs are shorted to ground. Similar to the probe signal test, this test is not a definitive indicator of probe health, so failures can be safely ignored." + "Test PSB bus", "<1 s", "Verifies whether signals are transmitted accurately to the headstage via the parallel serial bus. If this test fails, it usually indicates that the probe is not properly seated in the headstage." + "Test shift registers", "1 s", "Verifies the functionality of the shank and base shift registers. If this test fails, it means the probe electronics have become critically damaged. Even if data is being transmitted, there's a possibility that it may be corrupted." + "Test EEPROM", "1 s", "Tests the EEPROM memory storage on the flex, headstage, and BSC." + "Test I2C", "<1 s", "Verifies the functionality of the probe's I2C interface. This interface must be intact for proper functioning of the probe." + "Test Serdes", "<1 s", "Tests the integrity of the serial communication over the probe cable." + "Test Heartbeat", "3 s", "Checks for a 1 Hz heartbeat signal between the headstage and BSC. This test indicates whether basic communication between the headstage and basestation is working." + "Test Basestation", "<1 s", "Tests the connectivity between the computer and the basestation FPGA board via the PXIe interface." + +.. note:: If the "probe signal" and "probe noise" tests fail, it does not necessarily indicate that the probe is broken. If your probe is successfully transmitting data, the outcome of all of these tests (except the shift register test) can be safely ignored. Headstage tests ################# diff --git a/searchindex.js b/searchindex.js index cfd3bc9..6674c78 100644 --- a/searchindex.js +++ b/searchindex.js @@ -1 +1 @@ -Search.setIndex({"docnames": ["Developer-Guide/Common-and-external-libraries", "Developer-Guide/Compiling-plugins", "Developer-Guide/Compiling-the-GUI", "Developer-Guide/Creating-a-new-plugin", "Developer-Guide/Distributing-plugins", "Developer-Guide/Modifying-the-host-application", "Developer-Guide/Open-Ephys-Plugin-API/Data-Threads", "Developer-Guide/Open-Ephys-Plugin-API/File-Sources", "Developer-Guide/Open-Ephys-Plugin-API/Processor-Plugins", "Developer-Guide/Open-Ephys-Plugin-API/Record-Engines", "Developer-Guide/Open-Ephys-Plugin-API/Visualizer-Plugins", "Developer-Guide/Open-Ephys-Plugin-API/index", "Developer-Guide/index", "FAQ/index", "Tutorials/Closed-Loop-Latency", "Tutorials/Data-Synchronization", "Tutorials/How-To-Make-Your-Own-Plugin", "Tutorials/Making-Your-Own-Visualizer-Plugin", "Tutorials/index", "User-Manual/Before-you-begin", "User-Manual/Building-a-signal-chain", "User-Manual/Exploring-the-user-interface", "User-Manual/Hardware-requirements", "User-Manual/Installing-the-GUI", "User-Manual/Plugins/Acq-Board-Output", "User-Manual/Plugins/Acquisition-Board", "User-Manual/Plugins/Arduino-Output", "User-Manual/Plugins/Audio-Monitor", "User-Manual/Plugins/Bandpass-Filter", "User-Manual/Plugins/CNN-Ripple", "User-Manual/Plugins/Channel-Map", "User-Manual/Plugins/Common-Average-Reference", "User-Manual/Plugins/Crossing-Detector", "User-Manual/Plugins/Data-Snapshot", "User-Manual/Plugins/Ephys-Socket", "User-Manual/Plugins/Event-Broadcaster", "User-Manual/Plugins/Event-Translator", "User-Manual/Plugins/Falcon-Output", "User-Manual/Plugins/File-Reader", "User-Manual/Plugins/Intan-RHD-USB", "User-Manual/Plugins/LFP-Viewer", "User-Manual/Plugins/LSL-Inlet", "User-Manual/Plugins/Latency-Histogram", "User-Manual/Plugins/MATLAB-Interface", "User-Manual/Plugins/Mean-Spike-Rate", "User-Manual/Plugins/Merger", "User-Manual/Plugins/Multiband-Integrator", "User-Manual/Plugins/NIDAQmx", "User-Manual/Plugins/Network-Events", "User-Manual/Plugins/Neuropixels-CAR", "User-Manual/Plugins/Neuropixels-PXI", "User-Manual/Plugins/OE-FPGA-Acquisition-Board", "User-Manual/Plugins/OSC-Events", "User-Manual/Plugins/Online-PSTH", "User-Manual/Plugins/Phase-Calculator", "User-Manual/Plugins/Phase-Detector", "User-Manual/Plugins/Probe-Viewer", "User-Manual/Plugins/Pulse-Pal", "User-Manual/Plugins/Python-Processor", "User-Manual/Plugins/RHD-Rec-Controller", "User-Manual/Plugins/Rec-Controller-Output", "User-Manual/Plugins/Record-Control", "User-Manual/Plugins/Record-Node", "User-Manual/Plugins/Rhythm-Plugins", "User-Manual/Plugins/Ripple-Detector", "User-Manual/Plugins/River-Output", "User-Manual/Plugins/Spectrum-Viewer", "User-Manual/Plugins/Spike-Detector", "User-Manual/Plugins/Spike-Sorter", "User-Manual/Plugins/Spike-Viewer", "User-Manual/Plugins/Splitter", "User-Manual/Plugins/TTL-Panels", "User-Manual/Plugins/Tracking-Plugin", "User-Manual/Plugins/Virtual-Reference", "User-Manual/Plugins/XDAQ-OE", "User-Manual/Plugins/ZMQ-Interface", "User-Manual/Plugins/index", "User-Manual/Recording-data/Binary-format", "User-Manual/Recording-data/NWB-format", "User-Manual/Recording-data/Open-Ephys-format", "User-Manual/Recording-data/index", "User-Manual/Remote-control", "User-Manual/Whats-new", "User-Manual/index", "index"], "filenames": ["Developer-Guide/Common-and-external-libraries.rst", "Developer-Guide/Compiling-plugins.rst", "Developer-Guide/Compiling-the-GUI.rst", "Developer-Guide/Creating-a-new-plugin.rst", "Developer-Guide/Distributing-plugins.rst", "Developer-Guide/Modifying-the-host-application.rst", "Developer-Guide/Open-Ephys-Plugin-API/Data-Threads.rst", "Developer-Guide/Open-Ephys-Plugin-API/File-Sources.rst", "Developer-Guide/Open-Ephys-Plugin-API/Processor-Plugins.rst", "Developer-Guide/Open-Ephys-Plugin-API/Record-Engines.rst", "Developer-Guide/Open-Ephys-Plugin-API/Visualizer-Plugins.rst", "Developer-Guide/Open-Ephys-Plugin-API/index.rst", "Developer-Guide/index.rst", "FAQ/index.rst", "Tutorials/Closed-Loop-Latency.rst", "Tutorials/Data-Synchronization.rst", "Tutorials/How-To-Make-Your-Own-Plugin.rst", "Tutorials/Making-Your-Own-Visualizer-Plugin.rst", "Tutorials/index.rst", "User-Manual/Before-you-begin.rst", "User-Manual/Building-a-signal-chain.rst", "User-Manual/Exploring-the-user-interface.rst", "User-Manual/Hardware-requirements.rst", "User-Manual/Installing-the-GUI.rst", "User-Manual/Plugins/Acq-Board-Output.rst", "User-Manual/Plugins/Acquisition-Board.rst", "User-Manual/Plugins/Arduino-Output.rst", "User-Manual/Plugins/Audio-Monitor.rst", "User-Manual/Plugins/Bandpass-Filter.rst", "User-Manual/Plugins/CNN-Ripple.rst", "User-Manual/Plugins/Channel-Map.rst", "User-Manual/Plugins/Common-Average-Reference.rst", "User-Manual/Plugins/Crossing-Detector.rst", "User-Manual/Plugins/Data-Snapshot.rst", "User-Manual/Plugins/Ephys-Socket.rst", "User-Manual/Plugins/Event-Broadcaster.rst", "User-Manual/Plugins/Event-Translator.rst", "User-Manual/Plugins/Falcon-Output.rst", "User-Manual/Plugins/File-Reader.rst", "User-Manual/Plugins/Intan-RHD-USB.rst", "User-Manual/Plugins/LFP-Viewer.rst", "User-Manual/Plugins/LSL-Inlet.rst", "User-Manual/Plugins/Latency-Histogram.rst", "User-Manual/Plugins/MATLAB-Interface.rst", "User-Manual/Plugins/Mean-Spike-Rate.rst", "User-Manual/Plugins/Merger.rst", "User-Manual/Plugins/Multiband-Integrator.rst", "User-Manual/Plugins/NIDAQmx.rst", "User-Manual/Plugins/Network-Events.rst", "User-Manual/Plugins/Neuropixels-CAR.rst", "User-Manual/Plugins/Neuropixels-PXI.rst", "User-Manual/Plugins/OE-FPGA-Acquisition-Board.rst", "User-Manual/Plugins/OSC-Events.rst", "User-Manual/Plugins/Online-PSTH.rst", "User-Manual/Plugins/Phase-Calculator.rst", "User-Manual/Plugins/Phase-Detector.rst", "User-Manual/Plugins/Probe-Viewer.rst", "User-Manual/Plugins/Pulse-Pal.rst", "User-Manual/Plugins/Python-Processor.rst", "User-Manual/Plugins/RHD-Rec-Controller.rst", "User-Manual/Plugins/Rec-Controller-Output.rst", "User-Manual/Plugins/Record-Control.rst", "User-Manual/Plugins/Record-Node.rst", "User-Manual/Plugins/Rhythm-Plugins.rst", "User-Manual/Plugins/Ripple-Detector.rst", "User-Manual/Plugins/River-Output.rst", "User-Manual/Plugins/Spectrum-Viewer.rst", "User-Manual/Plugins/Spike-Detector.rst", "User-Manual/Plugins/Spike-Sorter.rst", "User-Manual/Plugins/Spike-Viewer.rst", "User-Manual/Plugins/Splitter.rst", "User-Manual/Plugins/TTL-Panels.rst", "User-Manual/Plugins/Tracking-Plugin.rst", "User-Manual/Plugins/Virtual-Reference.rst", "User-Manual/Plugins/XDAQ-OE.rst", "User-Manual/Plugins/ZMQ-Interface.rst", "User-Manual/Plugins/index.rst", "User-Manual/Recording-data/Binary-format.rst", "User-Manual/Recording-data/NWB-format.rst", "User-Manual/Recording-data/Open-Ephys-format.rst", "User-Manual/Recording-data/index.rst", "User-Manual/Remote-control.rst", "User-Manual/Whats-new.rst", "User-Manual/index.rst", "index.rst"], "titles": ["Common and external libraries", "Compiling plugins", "Compiling the GUI", "Creating a new plugin", "Distributing plugins", "Modifying the host application", "Data Threads", "File Sources", "Processor Plugins", "Record Engines", "Visualizer Plugins", "Open Ephys Plugin API", "Developer Guide", "FAQs", "Measuring Closed-Loop Latency", "Synchronizing Data Streams", "How To Make Your Own Plugin", "Making Your Own Visualizer Plugin", "Tutorials", "Before you begin", "Building a signal chain", "Exploring the user interface", "Hardware requirements", "Installing the GUI", "Acq Board Output", "Acquisition Board", "Arduino Output", "Audio Monitor", "Bandpass Filter", "CNN Ripple", "Channel Map", "Common Average Reference", "Crossing Detector", "Data Snapshot", "Ephys Socket", "Event Broadcaster", "Event Translator", "Falcon Output", "File Reader", "Intan RHD USB", "LFP Viewer", "LSL Inlet", "Latency Histogram", "MATLAB Interface", "Mean Spike Rate", "Merger", "Multi-Band Integrator", "NI-DAQmx", "Network Events", "Neuropixels CAR", "Neuropixels PXI", "OE FPGA Acquisition Board", "OSC Events", "Online PSTH", "Phase Calculator", "Phase Detector", "Probe Viewer", "Pulse Pal", "Python Processor", "RHD Rec Controller", "Rec Controller Output", "Record Control", "Record Node", "Rhythm Plugins", "Ripple Detector", "River Output", "Spectrum Viewer", "Spike Detector", "Spike Sorter", "Spike Viewer", "Splitter", "TTL Panels", "Tracking Plugin", "Virtual Reference", "XDAQ", "ZMQ Interface", "Plugins", "Binary Format", "NWB Format", "Open Ephys Format", "Recording data", "Remote control", "What\u2019s new in version 0.6.x", "User Manual", "Home"], "terms": {"Not": [0, 26], "do": [0, 2, 4, 5, 8, 10, 11, 13, 14, 16, 17, 19, 20, 21, 22, 35, 43, 48, 50, 58, 62, 67, 69, 72, 76, 80], "make": [0, 1, 2, 3, 4, 5, 8, 9, 10, 11, 12, 13, 14, 15, 18, 19, 21, 22, 25, 26, 28, 30, 31, 32, 34, 36, 38, 39, 46, 48, 50, 51, 53, 54, 56, 57, 61, 65, 66, 67, 70, 71, 72, 76, 82, 84], "easier": [0, 16, 17, 21, 50, 71, 76, 82], "new": [0, 1, 4, 5, 6, 7, 8, 9, 11, 13, 15, 19, 20, 21, 22, 23, 25, 30, 32, 34, 39, 47, 48, 51, 53, 56, 58, 62, 64, 66, 67, 68, 70, 72, 76, 80, 81], "featur": [0, 5, 11, 12, 13, 14, 16, 19, 21, 24, 25, 29, 39, 40, 51, 56, 59, 60, 74], "other": [0, 2, 4, 5, 6, 8, 9, 10, 11, 13, 14, 15, 19, 20, 21, 26, 33, 37, 40, 45, 47, 49, 50, 55, 58, 62, 65, 67, 70, 71, 76, 80, 82], "user": [0, 2, 7, 8, 11, 12, 13, 16, 17, 19, 20, 22, 23, 27, 29, 44, 46, 47, 50, 58, 64, 67, 72, 76, 81, 82], "thei": [0, 3, 5, 10, 11, 13, 15, 16, 17, 19, 20, 21, 25, 34, 36, 39, 47, 50, 51, 54, 59, 67, 69, 70, 71, 74, 76, 77, 79, 80, 82], "can": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 30, 33, 36, 37, 38, 39, 41, 42, 43, 45, 48, 49, 50, 51, 53, 55, 57, 58, 59, 60, 62, 64, 65, 66, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 80, 81, 82], "also": [0, 2, 3, 4, 5, 6, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 19, 20, 21, 22, 23, 24, 25, 27, 29, 32, 33, 34, 35, 37, 38, 39, 40, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 64, 65, 66, 67, 68, 69, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 82, 83], "take": [0, 5, 8, 10, 12, 14, 16, 17, 19, 21, 26, 33, 40, 47, 50, 54, 58, 64, 65, 66, 68, 79, 81], "advantag": [0, 8, 10, 15, 19, 78, 79, 82], "wide": [0, 2, 5, 8, 14, 19, 22, 50, 67, 78], "arrai": [0, 6, 8, 9, 11, 16, 17, 19, 28, 58, 77, 78, 80], "c": [0, 5, 10, 12, 16, 17, 21, 23, 25, 37, 39, 50, 51, 58, 78, 82], "without": [0, 4, 8, 11, 15, 22, 23, 34, 40, 50, 53, 62, 67, 69, 70, 76, 80], "ad": [0, 5, 6, 8, 9, 10, 13, 14, 21, 22, 23, 36, 40, 47, 48, 53, 57, 58, 62, 66, 67, 71, 72, 75, 76, 80], "depend": [0, 2, 3, 20, 21, 22, 23, 40, 47, 66, 74, 76, 80], "host": [0, 1, 3, 11, 17, 19, 43, 76, 82], "applic": [0, 1, 2, 3, 11, 13, 16, 17, 19, 21, 23, 40, 56, 62, 76, 81, 82, 84], "while": [0, 1, 4, 6, 8, 9, 11, 14, 15, 17, 19, 20, 21, 23, 27, 34, 35, 36, 38, 43, 47, 50, 56, 62, 65, 66, 76, 81, 82], "ar": [0, 1, 2, 3, 4, 5, 6, 7, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 25, 26, 28, 30, 31, 32, 34, 36, 37, 38, 39, 40, 42, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 62, 64, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 80, 81, 82, 83, 84], "mani": [0, 8, 12, 13, 19, 21, 22, 38, 40, 50, 53, 62, 76], "reli": [0, 1, 19, 21, 22, 48, 58], "open": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 13, 14, 15, 16, 17, 18, 20, 21, 23, 26, 27, 28, 29, 30, 31, 32, 33, 35, 36, 37, 38, 39, 40, 41, 42, 44, 45, 46, 47, 48, 49, 50, 53, 54, 55, 56, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 78, 80, 81, 82, 83, 84], "ephi": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 13, 14, 15, 16, 17, 18, 20, 21, 23, 26, 27, 28, 29, 30, 31, 32, 33, 35, 36, 37, 38, 39, 40, 41, 42, 44, 45, 46, 47, 48, 49, 50, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 78, 80, 81, 82, 83, 84], "api": [0, 3, 8, 16, 17, 50, 53, 56, 62, 63, 81, 82], "juce": [0, 5, 7, 8, 9, 10, 11, 17, 19], "standard": [0, 8, 10, 20, 22, 29, 37, 40, 64, 67, 80], "some": [0, 1, 3, 4, 8, 9, 11, 12, 15, 16, 17, 19, 21, 22, 23, 24, 25, 30, 31, 34, 38, 39, 47, 50, 51, 58, 59, 60, 67, 76, 80, 82, 84], "case": [0, 1, 8, 10, 11, 13, 14, 15, 16, 17, 19, 21, 23, 30, 50, 54, 58, 62, 70, 80], "i": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 80, 81, 82, 84], "essenti": [0, 5, 19, 22, 34], "call": [0, 1, 2, 3, 6, 7, 8, 9, 10, 11, 16, 17, 19, 21, 24, 25, 37, 43, 51, 57, 58, 75, 77], "function": [0, 3, 5, 6, 8, 11, 12, 13, 16, 17, 19, 21, 25, 30, 34, 39, 43, 45, 50, 51, 58, 59, 64, 68, 72, 74, 76, 81, 83], "from": [0, 1, 2, 3, 4, 5, 7, 8, 10, 11, 13, 14, 15, 16, 17, 19, 20, 21, 22, 24, 28, 37, 40, 44, 45, 46, 48, 49, 53, 54, 55, 57, 58, 60, 62, 63, 65, 66, 68, 69, 71, 73, 75, 76, 77, 78, 79, 80, 82, 83, 84], "more": [0, 1, 2, 3, 5, 6, 8, 10, 13, 14, 16, 19, 20, 21, 22, 24, 25, 28, 32, 34, 35, 39, 45, 46, 47, 48, 49, 50, 51, 54, 55, 58, 59, 62, 65, 67, 69, 70, 72, 74, 77, 79, 80, 82, 84], "special": [0, 5, 6, 16, 21, 50, 82], "add": [0, 1, 2, 3, 4, 6, 7, 8, 9, 10, 11, 12, 13, 14, 16, 17, 20, 25, 30, 34, 37, 39, 51, 52, 56, 57, 59, 62, 65, 67, 68, 71, 72, 74, 76, 82], "power": [0, 14, 19, 46, 47, 50], "minim": [0, 6, 14, 82], "extra": [0, 1, 2, 16, 79, 80], "exampl": [0, 3, 4, 5, 6, 7, 8, 9, 14, 15, 16, 17, 19, 20, 21, 26, 28, 30, 31, 32, 34, 37, 38, 40, 41, 43, 45, 46, 47, 49, 50, 52, 53, 56, 57, 58, 62, 65, 67, 74, 81, 82], "libari": 0, "includ": [0, 2, 5, 8, 11, 12, 13, 14, 16, 17, 19, 21, 22, 24, 25, 27, 28, 29, 32, 33, 34, 35, 37, 38, 39, 40, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 52, 53, 54, 56, 57, 58, 59, 60, 62, 64, 65, 66, 67, 68, 71, 72, 73, 75, 76, 77, 80, 82, 83], "neuropixel": [0, 3, 4, 10, 15, 20, 21, 23, 28, 33, 36, 37, 40, 62, 67, 73, 76, 80, 81, 82, 83], "pxi": [0, 3, 4, 10, 15, 20, 21, 22, 36, 37, 40, 49, 56, 76, 80, 82], "zmq": [0, 37, 76], "interfac": [0, 3, 8, 10, 11, 12, 13, 14, 16, 17, 20, 22, 27, 28, 30, 32, 37, 38, 40, 50, 53, 55, 56, 62, 67, 69, 72, 73, 76, 80, 82, 83], "zeromq": [0, 37, 48], "It": [0, 1, 2, 3, 5, 6, 12, 13, 14, 19, 20, 21, 22, 26, 27, 32, 33, 36, 37, 45, 46, 47, 48, 50, 52, 53, 56, 58, 70, 71, 75, 77, 80, 83, 84], "": [0, 1, 2, 3, 4, 5, 6, 7, 9, 10, 11, 13, 14, 15, 16, 17, 19, 20, 21, 22, 24, 25, 26, 28, 29, 30, 32, 33, 34, 35, 36, 37, 38, 39, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 70, 71, 72, 73, 74, 75, 76, 77, 80, 81, 83, 84], "possibl": [0, 1, 2, 5, 8, 10, 11, 12, 13, 14, 15, 16, 17, 19, 20, 21, 25, 31, 36, 37, 38, 39, 40, 45, 47, 48, 50, 51, 53, 55, 58, 59, 65, 66, 70, 71, 74, 76, 79, 80, 82], "creat": [0, 1, 2, 4, 5, 6, 7, 9, 10, 11, 12, 14, 19, 20, 21, 34, 48, 50, 68, 69, 71, 76, 77, 78, 79, 80], "custom": [0, 5, 6, 10, 11, 17, 21, 24, 25, 40, 53, 63, 67, 71, 80, 81], "multipl": [0, 3, 5, 7, 13, 15, 20, 21, 23, 33, 36, 37, 38, 40, 49, 50, 53, 58, 62, 70, 74, 77, 78, 82], "wrap": [0, 32], "an": [0, 1, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 19, 20, 21, 22, 27, 30, 32, 34, 36, 37, 38, 41, 43, 45, 46, 47, 48, 49, 50, 52, 54, 56, 58, 62, 64, 65, 67, 68, 70, 71, 72, 74, 75, 76, 78, 79, 80, 81, 82, 83, 84], "exist": [0, 1, 2, 8, 11, 16, 17, 19, 65, 67], "wai": [0, 4, 6, 8, 12, 13, 14, 15, 16, 17, 19, 20, 21, 22, 30, 45, 56, 57, 58, 70, 71, 76], "gui": [0, 1, 3, 4, 5, 6, 7, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 20, 21, 22, 24, 25, 27, 28, 29, 30, 31, 32, 33, 36, 37, 38, 39, 40, 41, 42, 44, 45, 46, 47, 48, 49, 50, 51, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 80, 82, 83, 84], "These": [0, 1, 3, 8, 11, 16, 17, 20, 21, 22, 26, 28, 31, 34, 40, 46, 47, 50, 58, 67, 72, 76, 80, 81, 82], "specif": [0, 1, 5, 8, 9, 11, 12, 13, 14, 16, 17, 21, 23, 47, 48, 50, 80], "ani": [0, 1, 2, 3, 5, 6, 7, 8, 9, 10, 11, 12, 13, 15, 16, 17, 19, 20, 21, 22, 23, 25, 26, 27, 34, 38, 39, 42, 43, 47, 49, 50, 51, 53, 54, 55, 56, 57, 58, 59, 62, 65, 67, 68, 69, 70, 72, 74, 75, 76, 80, 82], "built": [0, 4, 6, 8, 10, 11, 13, 14, 15, 16, 17, 19, 21, 22, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80], "them": [0, 1, 2, 3, 5, 6, 8, 13, 15, 17, 19, 21, 23, 40, 50, 61, 71, 77, 79, 80, 82], "openephyshdf5lib": 0, "nwb": [0, 3, 7, 9, 38, 62, 76, 80], "format": [0, 3, 5, 6, 7, 8, 9, 12, 15, 20, 30, 38, 50, 53, 56, 76], "openephysfftw": 0, "phase": [0, 14, 24, 25, 26, 32, 38, 50, 56, 59, 60, 76], "calcul": [0, 14, 15, 32, 34, 42, 44, 47, 49, 50, 55, 56, 64, 68, 76], "spectrum": [0, 76], "viewer": [0, 3, 10, 14, 16, 17, 20, 27, 30, 33, 34, 37, 41, 45, 47, 62, 64, 67, 76, 82], "thi": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 30, 32, 35, 36, 37, 38, 39, 42, 43, 44, 45, 48, 49, 50, 51, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 64, 65, 66, 67, 68, 69, 71, 72, 73, 74, 75, 76, 80, 81, 82, 83, 84], "page": [0, 1, 2, 3, 4, 5, 6, 8, 11, 13, 16, 17, 21, 22, 23, 47, 50, 62, 63, 65, 68, 82], "demonstr": [0, 15, 16, 17, 47, 79], "how": [0, 3, 5, 6, 8, 10, 11, 13, 14, 15, 17, 18, 19, 22, 48, 50, 53, 55, 56, 58, 62, 68, 76, 80, 81, 82, 83, 84], "your": [0, 1, 2, 4, 5, 6, 8, 12, 13, 14, 15, 18, 19, 20, 21, 22, 23, 24, 25, 29, 34, 38, 39, 40, 43, 46, 48, 50, 51, 55, 58, 59, 62, 64, 67, 70, 72, 76, 80, 83], "instruct": [0, 1, 3, 4, 7, 11, 16, 17, 23, 43, 45, 50, 56, 58, 65, 72], "assum": [0, 1, 3, 8, 9, 13, 16, 17, 20, 48, 53, 56, 77, 82], "you": [0, 1, 2, 3, 4, 5, 8, 12, 13, 14, 15, 16, 17, 18, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 32, 33, 34, 35, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 62, 64, 65, 66, 67, 68, 69, 71, 72, 73, 74, 75, 76, 77, 78, 80, 81, 82, 83, 84], "have": [0, 1, 2, 3, 4, 5, 6, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 30, 34, 36, 38, 39, 40, 41, 46, 47, 48, 49, 50, 51, 53, 57, 58, 59, 61, 64, 67, 68, 70, 71, 74, 76, 79, 80, 82], "alreadi": [0, 1, 3, 8, 9, 11, 15, 16, 17, 19, 20, 22, 24, 25, 29, 32, 33, 34, 35, 37, 39, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 52, 53, 54, 56, 57, 58, 59, 60, 64, 65, 66, 68, 71, 72, 73, 75, 80, 81], "compil": [0, 5, 11, 14], "If": [0, 1, 2, 3, 4, 5, 6, 7, 8, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 23, 24, 25, 26, 27, 28, 29, 30, 34, 37, 38, 39, 40, 45, 47, 49, 50, 51, 52, 53, 55, 56, 57, 58, 59, 60, 61, 62, 64, 67, 69, 70, 71, 72, 74, 75, 76, 80, 81, 83], "haven": [0, 15, 20, 72], "t": [0, 1, 2, 3, 5, 8, 10, 12, 13, 14, 15, 16, 17, 19, 20, 21, 22, 24, 25, 27, 39, 40, 46, 50, 51, 53, 59, 65, 72, 74, 76, 80], "done": [0, 10, 16, 17, 20, 58, 62], "yet": [0, 11, 17, 21, 34, 46], "follow": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 14, 15, 16, 17, 18, 19, 22, 23, 28, 29, 33, 34, 37, 42, 43, 44, 47, 49, 50, 52, 53, 56, 58, 62, 64, 65, 67, 68, 75, 77, 78, 79, 80, 81, 83], "The": [0, 1, 3, 4, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84], "first": [0, 1, 2, 3, 4, 5, 8, 9, 12, 13, 14, 15, 16, 17, 19, 20, 21, 23, 25, 26, 28, 30, 33, 34, 35, 36, 39, 42, 45, 47, 50, 51, 53, 54, 56, 59, 61, 62, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 77, 78, 80, 82], "step": [0, 1, 2, 3, 4, 5, 17, 19, 20, 22, 50, 53, 64, 75], "repositori": [0, 1, 2, 5, 12, 23, 34, 35, 37, 38, 46, 58, 75, 76, 77, 79, 82], "oecommonlib": 0, "templat": [0, 3, 6, 7, 8, 9, 10, 16, 17, 43, 58], "log": [0, 3, 16, 17, 28, 66], "github": [0, 1, 2, 3, 4, 5, 12, 13, 16, 17, 34, 38, 46, 58, 76, 83], "account": [0, 1, 2, 3, 4, 5, 16, 17, 76], "brows": [0, 3, 16, 17, 20, 21, 24, 25, 28, 29, 32, 33, 34, 35, 37, 38, 39, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 52, 53, 54, 56, 57, 58, 59, 60, 64, 65, 66, 68, 71, 72, 73, 75, 82], "click": [0, 1, 2, 3, 4, 15, 16, 17, 20, 23, 24, 25, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 80], "green": [0, 3, 15, 16, 17, 26, 36, 47, 50, 72, 75, 80], "button": [0, 2, 3, 5, 10, 11, 14, 15, 16, 17, 20, 21, 24, 27, 28, 29, 30, 32, 33, 34, 35, 36, 37, 38, 40, 41, 42, 43, 44, 46, 47, 48, 49, 50, 52, 53, 54, 55, 56, 57, 58, 60, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 75, 76, 80], "choos": [0, 3, 10, 13, 19, 23, 25, 27, 32, 34, 39, 51, 55, 59, 74, 80, 82], "option": [0, 1, 2, 4, 9, 10, 13, 14, 15, 17, 19, 20, 22, 32, 34, 41, 45, 47, 48, 50, 62, 66, 67, 73, 76, 82], "name": [0, 1, 3, 4, 6, 8, 11, 16, 17, 20, 21, 23, 30, 34, 38, 40, 45, 53, 56, 57, 58, 66, 67, 70, 75, 76, 78, 80, 81], "should": [0, 1, 3, 4, 6, 7, 8, 9, 10, 11, 13, 14, 16, 17, 19, 20, 21, 22, 27, 34, 38, 47, 48, 50, 54, 55, 56, 57, 58, 61, 62, 67, 70, 71, 72, 73, 76, 80, 81, 82, 83], "succinctli": [0, 3], "captur": [0, 3, 20, 72], "On": [0, 2, 3, 16, 17, 25, 26, 37, 39, 47, 51, 58, 59, 68, 80], "local": [0, 2, 3, 4, 11, 16, 17, 23, 36, 50, 58, 65, 70, 75, 80, 82], "machin": [0, 2, 3, 16, 17, 21, 22, 23, 37, 52, 56, 72, 81], "oeplugin": [0, 1, 3, 16, 17], "directori": [0, 1, 2, 3, 5, 8, 11, 16, 17, 20, 21, 23, 37, 38, 48, 58, 75, 77, 78, 80, 81, 82], "within": [0, 1, 2, 3, 5, 6, 7, 8, 9, 10, 12, 13, 15, 16, 17, 23, 31, 34, 37, 40, 50, 53, 55, 56, 69, 72, 75, 77, 78, 79, 80, 81, 82], "same": [0, 1, 2, 3, 8, 10, 14, 15, 16, 17, 20, 21, 25, 28, 30, 35, 37, 38, 39, 40, 47, 48, 49, 50, 51, 52, 53, 56, 58, 59, 61, 67, 69, 70, 71, 72, 74, 75, 76, 79, 80, 81], "contain": [0, 1, 3, 4, 5, 6, 7, 8, 9, 10, 14, 15, 16, 17, 20, 21, 25, 34, 35, 38, 39, 41, 47, 50, 51, 52, 53, 59, 67, 68, 72, 74, 75, 76, 77, 78, 79, 80, 81], "Then": [0, 3, 12, 16, 17, 47, 58, 61, 66, 67, 70, 76, 82], "command": [0, 1, 2, 3, 5, 16, 17, 21, 28, 34, 50, 53, 58, 62, 81, 82], "line": [0, 1, 2, 3, 5, 6, 8, 9, 10, 11, 13, 15, 16, 17, 21, 26, 28, 29, 31, 32, 35, 36, 40, 41, 45, 47, 48, 50, 52, 53, 54, 55, 57, 58, 61, 62, 64, 69, 71, 75, 77, 78, 79, 80, 81, 82], "desktop": [0, 1, 2, 3, 5, 16, 17, 56], "app": [0, 1, 2, 3, 5, 16, 17, 21, 23], "clone": [0, 1, 2, 3, 5, 16, 17], "folder": [0, 1, 2, 3, 5, 23, 34, 48, 50, 58], "structur": [0, 1, 3, 16, 17, 21, 38, 77, 78, 79, 81], "look": [0, 1, 3, 5, 8, 12, 13, 14, 16, 17, 25, 30, 34, 39, 40, 50, 51, 56, 59, 67, 69, 74, 76], "someth": [0, 1, 3, 13, 16, 17, 19, 23, 34, 35, 47, 83], "like": [0, 1, 2, 3, 5, 8, 12, 13, 14, 15, 16, 17, 18, 19, 20, 22, 24, 25, 26, 34, 39, 46, 49, 50, 51, 55, 56, 58, 59, 62, 67, 69, 74, 76, 80], "commonlib": [0, 4], "build": [0, 1, 2, 3, 4, 5, 6, 11, 13, 16, 17, 19, 21, 22, 76, 83], "cmakelist": [0, 3, 16, 17], "txt": [0, 3, 16, 17], "cmake_readm": [0, 3, 16, 17], "link_open_ephys_lib": 0, "cmake": [0, 1, 2, 3], "readm": [0, 3, 16, 17, 43], "md": [0, 3, 16, 17], "come": [0, 5, 15, 16, 20, 21, 26, 47, 76, 80], "boilerpl": 0, "start": [0, 1, 2, 3, 5, 6, 7, 9, 10, 11, 13, 14, 15, 16, 17, 19, 20, 21, 26, 28, 34, 36, 38, 41, 43, 47, 48, 50, 52, 53, 56, 58, 61, 62, 67, 72, 75, 76, 77, 78, 79, 80, 82, 84], "write": [0, 5, 8, 9, 11, 12, 13, 15, 18, 22, 43, 53, 62, 78, 80, 83], "after": [0, 4, 6, 9, 14, 15, 16, 17, 20, 24, 25, 26, 29, 30, 32, 34, 36, 39, 47, 50, 51, 53, 56, 58, 59, 60, 62, 64, 68, 72, 74, 75, 79, 80, 82], "chang": [0, 1, 3, 5, 10, 11, 12, 14, 15, 19, 20, 21, 25, 26, 28, 30, 31, 32, 34, 36, 37, 38, 39, 40, 50, 51, 53, 54, 56, 58, 59, 62, 66, 67, 68, 70, 71, 73, 74, 76, 80, 81, 82], "all": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 16, 17, 19, 20, 21, 22, 25, 26, 28, 33, 34, 35, 37, 39, 40, 45, 47, 48, 49, 50, 51, 52, 53, 55, 59, 62, 64, 65, 67, 68, 69, 71, 72, 73, 74, 75, 76, 77, 80, 82, 84], "file": [0, 1, 2, 4, 5, 8, 11, 14, 15, 20, 22, 26, 28, 29, 34, 37, 41, 42, 43, 48, 53, 58, 61, 64, 73, 80, 81], "match": [0, 8, 9, 15, 16, 17, 20, 27, 34, 47, 50, 52, 57, 62, 72], "h": [0, 3, 8, 9, 11, 16, 17, 35, 59], "ifndef": [0, 17], "commonlib_h_includ": 0, "defin": [0, 3, 5, 10, 11, 16, 19, 28, 30, 34, 43, 47, 57, 64, 68, 72, 73, 76, 79, 80], "commonlibhead": 0, "namespac": [0, 16, 17], "commonlibrari": 0, "common_lib": 0, "libraryclass": 0, "public": [0, 4, 13, 16, 17, 19, 29, 50, 64, 72], "endif": [0, 17], "cpp": [0, 4], "For": [0, 1, 2, 3, 4, 7, 8, 13, 14, 15, 16, 17, 21, 24, 25, 28, 31, 34, 35, 37, 38, 40, 41, 46, 47, 50, 51, 53, 56, 57, 62, 67, 68, 73, 74, 75, 76, 77, 79, 80, 81, 82], "everi": [0, 5, 9, 13, 15, 16, 17, 19, 21, 22, 25, 28, 39, 40, 41, 47, 50, 51, 53, 56, 62, 66, 67, 76, 77, 80, 82], "want": [0, 2, 8, 12, 13, 17, 19, 23, 26, 28, 30, 42, 43, 48, 50, 67, 72, 76], "export": [0, 16, 17, 50], "need": [0, 1, 2, 3, 4, 6, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 19, 22, 23, 25, 26, 28, 30, 34, 37, 38, 39, 40, 41, 43, 45, 47, 50, 51, 54, 56, 58, 59, 62, 67, 68, 70, 71, 72, 74, 76, 77, 80], "macro": [0, 11], "declar": [0, 16, 17], "abov": [0, 4, 8, 14, 15, 16, 29, 47, 50, 55, 64, 72, 79], "go": [0, 4, 15, 17, 21, 23, 40, 47, 76], "we": [0, 1, 2, 3, 5, 12, 13, 14, 15, 16, 17, 19, 20, 22, 23, 24, 25, 26, 39, 47, 50, 51, 58, 59, 60, 62, 64, 65, 76, 78, 82], "copi": [0, 1, 3, 6, 8, 10, 21, 80, 82], "script": [0, 2, 5, 13, 34, 43, 48, 58, 65, 72], "repo": [0, 34], "base": [0, 2, 5, 6, 7, 8, 9, 10, 13, 14, 15, 19, 21, 22, 29, 32, 36, 37, 40, 53, 56, 62, 64, 67, 69, 80, 81, 84], "find": [0, 3, 5, 8, 12, 13, 16, 17, 19, 21, 23, 48, 58, 67, 72, 83], "link": [0, 4, 14, 20, 23, 40, 71], "specifi": [0, 1, 2, 4, 5, 8, 9, 10, 11, 16, 17, 24, 25, 46, 48, 50, 52, 53, 55, 59, 60, 62, 71, 72, 75, 77, 82], "when": [0, 2, 5, 7, 9, 10, 11, 12, 13, 14, 15, 16, 17, 19, 20, 21, 23, 24, 25, 26, 29, 33, 34, 35, 36, 37, 38, 39, 40, 41, 45, 46, 47, 50, 51, 52, 53, 57, 58, 59, 60, 61, 62, 65, 67, 71, 72, 73, 74, 76, 77, 78, 80, 82], "run": [0, 1, 2, 4, 8, 11, 12, 14, 21, 22, 23, 34, 37, 47, 50, 52, 56, 57, 61, 62, 64, 65, 72, 74, 80, 81, 82, 83, 84], "plugin_nam": 0, "common_lib_nam": 0, "now": [0, 1, 2, 4, 15, 16, 17, 20, 47, 58, 63, 70, 71, 72, 76, 80, 82, 84], "instal": [0, 1, 2, 3, 4, 7, 9, 14, 15, 20, 21, 26, 38, 62, 80, 82, 84], "load": [0, 3, 5, 7, 9, 11, 14, 16, 17, 20, 21, 22, 25, 29, 35, 39, 51, 59, 73, 74, 76, 77, 79, 80, 82], "symbol": 0, "type": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 14, 16, 17, 19, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 54, 55, 56, 57, 58, 59, 60, 61, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 79, 80, 81, 82], "either": [0, 1, 2, 5, 8, 10, 13, 15, 21, 26, 28, 35, 47, 50, 58, 65, 68, 70, 76, 80, 82], "singl": [0, 5, 8, 20, 21, 31, 41, 47, 67, 69, 72, 73, 78, 80, 82], "set": [0, 1, 2, 6, 11, 15, 19, 20, 21, 23, 24, 25, 26, 28, 29, 30, 31, 34, 36, 37, 39, 40, 41, 44, 46, 47, 48, 51, 52, 53, 54, 55, 56, 59, 60, 61, 64, 67, 69, 71, 73, 74, 76, 80], "separ": [0, 1, 3, 5, 6, 10, 15, 16, 17, 19, 21, 27, 37, 47, 50, 53, 62, 63, 67, 70, 71, 72, 76, 77, 78, 80, 81, 82], "packag": [0, 4, 15, 19, 23, 34, 35, 50, 58, 72, 74, 77, 78, 79], "order": [0, 2, 5, 6, 8, 9, 11, 15, 16, 17, 19, 20, 21, 22, 26, 30, 34, 38, 40, 43, 45, 46, 47, 50, 54, 62, 67, 68, 71, 75, 76, 77, 79, 80, 81], "requir": [0, 1, 2, 4, 5, 11, 15, 17, 19, 20, 21, 24, 25, 32, 34, 36, 37, 41, 45, 51, 53, 56, 60, 64, 65, 70, 76, 77, 78, 79, 80, 82], "usual": [0, 6, 7, 8, 10, 13, 17, 29, 45, 50, 58, 68, 76, 82], "sub": [0, 10, 13, 14, 35, 40, 48, 77], "point": [0, 3, 15, 16, 17, 34, 40, 47, 53, 56, 62, 64, 65, 66, 69, 70, 72, 79, 80, 81, 82], "locat": [0, 1, 5, 8, 9, 10, 11, 20, 21, 23, 27, 33, 40, 50, 58, 62, 72, 80, 81], "access": [0, 2, 4, 7, 9, 11, 14, 15, 16, 20, 21, 27, 29, 30, 32, 34, 37, 38, 41, 43, 44, 46, 50, 54, 56, 57, 64, 65, 66, 68, 71, 72, 73, 75, 76, 80, 81, 82], "associ": [0, 6, 8, 10, 16, 17, 21, 24, 25, 38, 39, 50, 51, 56, 57, 58, 59, 71, 72, 74], "besid": 0, "being": [0, 5, 8, 11, 14, 15, 17, 19, 20, 21, 34, 43, 50, 54, 62, 69, 75, 80], "easi": [0, 2, 5, 8, 13, 16, 19, 80], "optim": [0, 13, 29, 54, 64], "effect": [0, 16, 22, 33, 45, 49, 50, 57, 69, 70, 71], "drawback": 0, "howev": [0, 1, 5, 13, 14, 15, 17, 19, 20, 21, 22, 23, 25, 38, 39, 47, 48, 49, 50, 51, 59, 62, 70, 74, 80, 82], "duplic": 0, "longer": [0, 12, 19, 24, 38, 50, 52, 58, 60, 68, 70, 79], "time": [0, 3, 5, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 19, 20, 21, 23, 24, 25, 27, 29, 31, 37, 38, 39, 41, 42, 46, 47, 48, 49, 50, 51, 55, 58, 59, 60, 61, 62, 64, 71, 73, 74, 75, 76, 78, 80, 81, 82], "fact": [0, 14, 19], "recompil": [0, 16, 17], "its": [0, 1, 2, 3, 4, 5, 6, 8, 10, 11, 12, 15, 16, 17, 19, 20, 21, 28, 30, 36, 42, 45, 47, 50, 54, 58, 67, 68, 69, 73, 75, 77, 80], "httplib": 0, "oscpack": 0, "pre": [0, 1, 3, 16, 17, 53, 54, 64, 73], "plu": [0, 19], "linker": 0, "must": [0, 4, 5, 6, 7, 8, 9, 10, 13, 15, 16, 19, 21, 22, 24, 25, 29, 30, 34, 36, 39, 47, 50, 51, 52, 53, 54, 58, 59, 60, 61, 62, 64, 65, 67, 71, 72, 75, 80, 81, 82], "ship": [0, 3, 22, 62], "which": [0, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 16, 17, 19, 20, 21, 23, 24, 25, 27, 29, 31, 32, 35, 36, 37, 39, 40, 42, 45, 46, 47, 48, 49, 50, 51, 52, 54, 58, 59, 60, 62, 64, 65, 67, 68, 69, 70, 72, 75, 76, 77, 80, 81, 82], "runtim": [0, 16, 17, 58], "platform": [0, 1, 4, 5, 19, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 77, 78, 79], "window": [0, 3, 4, 5, 10, 11, 12, 17, 19, 20, 22, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 54, 55, 56, 57, 58, 59, 60, 61, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 84], "lib": [0, 2], "dure": [0, 2, 3, 6, 8, 16, 17, 21, 28, 38, 41, 47, 58, 64, 78], "dll": 0, "linux": [0, 3, 4, 5, 12, 17, 22, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 48, 49, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 77, 78, 79, 84], "object": [0, 5, 6, 7, 8, 9, 10, 11, 14, 17, 58, 76, 77, 78, 79], "so": [0, 2, 3, 4, 5, 7, 8, 11, 13, 15, 16, 17, 19, 20, 22, 23, 25, 30, 34, 39, 40, 46, 47, 49, 50, 51, 54, 58, 62, 64, 66, 67, 69, 76, 78, 80, 81, 82], "maco": [0, 4, 5, 12, 22, 24, 25, 26, 27, 28, 30, 31, 32, 33, 34, 35, 36, 38, 39, 40, 41, 42, 43, 45, 46, 48, 49, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 64, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 84], "dylib": 0, "both": [0, 8, 9, 10, 13, 14, 16, 19, 27, 28, 37, 43, 47, 52, 64, 65, 80], "sinc": [0, 3, 8, 10, 11, 15, 16, 17, 19, 20, 21, 23, 34, 47, 48, 52, 54, 56, 70, 77, 79, 80, 82], "doe": [0, 6, 8, 11, 13, 14, 16, 34, 47, 50, 55, 65, 67, 71, 82], "path": [0, 2, 7, 9, 11, 14, 48, 62, 70, 80], "necessari": [0, 3, 8, 9, 17, 19, 25, 34, 39, 45, 50, 51, 59, 72, 74], "pack": 0, "appropri": [0, 1, 3, 6, 8, 14, 16, 20, 21, 28, 38, 48, 50, 72], "version": [0, 1, 2, 4, 5, 11, 12, 16, 17, 20, 21, 23, 24, 25, 26, 29, 32, 33, 34, 35, 37, 38, 39, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 52, 53, 54, 56, 57, 58, 59, 60, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 75, 76, 77, 79, 80], "alongsid": [0, 47], "manual": [0, 1, 3, 12, 16, 25, 39, 50, 51, 59, 67, 72, 75], "manag": [0, 5, 8, 58, 72], "provid": [0, 5, 6, 7, 8, 10, 11, 13, 14, 15, 16, 17, 20, 22, 33, 47, 50, 58, 71, 80, 82], "just": [0, 1, 2, 3, 4, 6, 8, 19, 20, 21, 22, 23, 45, 80, 84], "To": [0, 1, 2, 6, 7, 8, 9, 10, 11, 13, 14, 17, 18, 20, 21, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 39, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 62, 64, 65, 66, 67, 68, 69, 71, 72, 73, 74, 75, 76, 77, 80, 81, 82], "allow": [0, 1, 2, 3, 5, 6, 7, 8, 10, 12, 14, 15, 16, 17, 19, 20, 21, 22, 23, 24, 25, 27, 29, 32, 33, 34, 35, 37, 38, 39, 41, 42, 44, 46, 47, 48, 49, 50, 51, 52, 53, 54, 56, 57, 58, 59, 60, 64, 65, 66, 68, 71, 72, 73, 74, 75, 76, 80], "librai": 0, "well": [0, 1, 2, 8, 9, 11, 12, 13, 14, 16, 17, 19, 21, 22, 23, 24, 25, 27, 39, 46, 47, 50, 51, 54, 59, 60, 62, 71, 72, 74, 75, 79, 80, 81, 82, 84], "grab": 0, "each": [0, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 16, 17, 20, 21, 24, 25, 27, 30, 34, 35, 36, 37, 38, 39, 46, 47, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 80, 81, 82], "support": [0, 1, 2, 3, 12, 13, 15, 18, 19, 21, 38, 47, 67, 74, 75, 76, 78, 80], "place": [0, 5, 8, 9, 16, 17, 24, 25, 27, 33, 37, 45, 46, 47, 48, 50, 52, 53, 55, 59, 60, 62, 64, 67, 68, 70, 75, 80, 81, 82], "plugin_or_common_lib": 0, "bin": [0, 2, 9, 14, 17, 23, 42, 50, 53], "x": [0, 2, 10, 13, 15, 16, 17, 20, 21, 28, 37, 38, 50, 58, 66, 72, 74, 77], "onc": [0, 1, 2, 4, 5, 8, 9, 10, 13, 14, 15, 16, 17, 19, 20, 21, 33, 34, 36, 37, 43, 47, 49, 50, 53, 58, 65, 67, 68, 70, 72, 75, 80], "sure": [0, 1, 2, 4, 8, 10, 12, 14, 16, 17, 19, 22, 26, 28, 29, 30, 31, 34, 46, 50, 54, 55, 56, 57, 61, 62, 64, 66, 67, 72, 76, 80], "abl": [0, 2, 12, 13, 14, 15, 16, 17, 19, 20, 21, 38, 50, 54, 57, 58, 65, 68, 76], "cmake_prefix_path": 0, "msvc": 0, "cmake_current_source_dir": 0, "elseif": 0, "appl": [0, 1, 2], "two": [0, 1, 2, 3, 5, 10, 11, 13, 14, 15, 16, 20, 25, 28, 30, 32, 34, 36, 39, 45, 47, 50, 51, 52, 54, 56, 57, 59, 64, 67, 68, 74, 75, 76, 77, 82], "differ": [0, 1, 2, 5, 8, 10, 11, 14, 15, 16, 17, 19, 20, 21, 24, 25, 28, 31, 32, 33, 37, 38, 39, 40, 46, 47, 50, 51, 53, 55, 57, 59, 67, 71, 74, 75, 76, 79, 80, 81, 82], "most": [0, 1, 3, 5, 8, 13, 14, 15, 17, 19, 21, 22, 40, 47, 50, 62, 76, 77, 80, 82], "commonli": [0, 40], "find_packag": 0, "recommend": [0, 1, 2, 3, 6, 9, 11, 12, 13, 14, 16, 19, 20, 22, 24, 25, 37, 39, 47, 48, 49, 50, 51, 58, 59, 60, 62, 72, 73, 75, 77, 79, 80], "would": [0, 6, 8, 13, 18, 19, 20, 21, 45, 50, 55, 57, 82], "zlib": 0, "target_link_librari": 0, "commonlib_nam": 0, "zlib_librari": 0, "target_include_directori": 0, "privat": [0, 4, 16, 17], "zlib_include_dir": 0, "finder": 0, "find_librari": 0, "find_path": 0, "respect": [0, 28, 34, 42, 50, 69], "search": [0, 13, 47], "varieti": [0, 5, 14, 19, 21, 22, 50, 84], "list": [0, 3, 4, 5, 8, 12, 14, 16, 17, 20, 22, 24, 25, 26, 34, 39, 43, 47, 50, 51, 57, 59, 60, 62, 75, 76, 80, 82], "might": [0, 34, 58], "architectur": [0, 19], "return": [0, 6, 7, 8, 9, 10, 11, 14, 16, 17, 25, 34, 39, 48, 50, 51, 59, 74, 81, 82], "found": [0, 13, 15, 19, 20, 22, 29, 34, 37, 38, 43, 46, 47, 48, 50, 56, 58, 64, 69, 72, 75, 80, 82], "zmq_librari": 0, "libzmq": 0, "v120": 0, "mt": 0, "4_0_4": 0, "zmq_include_dir": 0, "lastli": [0, 17, 20, 76], "expect": [0, 14, 19, 34, 47, 52, 62, 76], "e": [0, 3, 6, 8, 11, 14, 15, 21, 22, 24, 25, 27, 32, 34, 40, 45, 47, 49, 50, 54, 55, 56, 58, 59, 60, 62, 67, 68, 72, 76, 77, 79, 80, 81, 82], "tell": [0, 16], "end": [0, 3, 5, 6, 14, 15, 16, 17, 27, 32, 34, 38, 43, 47, 56, 80, 82], "cmake_library_architectur": 0, "destin": 0, "gui_bin_dir": 0, "env": [0, 58], "home": [0, 21, 58], "api8": [0, 21], "automat": [0, 2, 3, 5, 6, 8, 10, 11, 14, 15, 16, 17, 20, 21, 23, 25, 27, 34, 38, 39, 43, 45, 47, 49, 50, 51, 53, 54, 56, 59, 62, 65, 67, 69, 74, 75, 76, 77, 78, 79, 80, 82], "correct": [0, 8, 14, 16, 32, 50, 54, 56, 61, 62, 66, 67], "whether": [1, 5, 6, 10, 15, 19, 21, 22, 25, 38, 39, 50, 51, 59, 71, 80], "re": [1, 2, 3, 5, 8, 10, 11, 12, 13, 14, 15, 16, 17, 19, 20, 21, 27, 40, 45, 47, 50, 55, 56, 57, 67, 68, 80, 81, 82, 83, 84], "across": [1, 4, 7, 8, 15, 19, 22, 25, 32, 33, 38, 39, 40, 47, 49, 50, 51, 56, 59, 62, 66, 67, 68, 69, 74, 75, 80, 82], "three": [1, 5, 6, 8, 9, 10, 19, 20, 26, 31, 32, 40, 46, 57, 67, 69, 72, 75, 82], "note": [1, 2, 6, 8, 10, 11, 14, 15, 16, 17, 20, 25, 34, 39, 50, 51, 53, 54, 56, 59, 62, 68, 77, 80, 81], "see": [1, 3, 6, 8, 9, 11, 13, 14, 16, 17, 19, 20, 21, 22, 23, 33, 34, 35, 37, 42, 43, 46, 47, 50, 53, 55, 56, 62, 65, 67, 75, 76, 80, 82], "don": [1, 3, 10, 12, 13, 19, 20, 21, 40, 80], "work": [1, 2, 6, 12, 13, 14, 15, 17, 19, 20, 22, 23, 25, 26, 29, 34, 36, 38, 39, 49, 50, 51, 54, 56, 57, 59, 64, 74, 76, 78, 80, 81, 84], "equal": [1, 15, 16, 17, 22, 23, 40, 72, 84], "mac": [1, 2, 3, 23, 26, 44], "librari": [1, 5, 14, 15, 17, 19, 21, 37, 56, 58, 62, 65, 77, 78, 79, 80, 81], "onli": [1, 5, 6, 7, 8, 9, 11, 15, 16, 17, 19, 20, 21, 25, 26, 27, 28, 31, 33, 34, 36, 38, 39, 40, 41, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 62, 64, 65, 66, 67, 68, 69, 70, 72, 73, 74, 75, 76, 80, 81, 82, 84], "certain": [1, 11, 16, 25, 39, 50, 51, 54, 59, 62, 74, 81, 84], "Be": [1, 8, 17, 19, 64, 67], "check": [1, 8, 11, 13, 14, 15, 16, 20, 21, 27, 28, 47, 50, 61, 62, 76, 83], "relev": [1, 3, 5, 7, 9, 10, 16, 17, 45, 55, 61, 77], "document": [1, 3, 4, 6, 11, 12, 16, 21, 23, 24, 25, 37, 51, 62, 63, 67, 68, 72, 74, 78, 80, 81, 83, 84], "compat": [1, 9, 13, 19, 22, 34, 38, 72, 76, 78, 79, 80, 83], "main": [1, 2, 3, 5, 10, 11, 12, 15, 16, 17, 19, 23, 24, 25, 36, 39, 47, 48, 50, 51, 59, 60, 62, 68, 73, 76, 77, 80], "download": [1, 2, 4, 15, 19, 20, 23, 26, 47, 50, 72, 76], "plan": [1, 5, 12, 22, 23, 50, 56, 65, 76], "own": [1, 2, 3, 4, 5, 6, 8, 13, 15, 18, 19, 20, 36, 43, 47, 50, 54, 58, 68, 69, 76, 77, 80, 82, 83], "fork": [1, 2, 4, 5, 76], "via": [1, 2, 3, 5, 6, 7, 8, 9, 11, 12, 14, 15, 16, 17, 19, 21, 22, 24, 25, 26, 27, 34, 39, 50, 51, 52, 53, 57, 58, 59, 60, 62, 72, 75, 76, 80, 81, 82], "origin": [1, 5, 15, 19, 20, 35, 37, 46, 47, 54, 67, 69, 71, 80], "zip": [1, 4], "offici": [1, 2, 12, 13, 19, 76], "level": [1, 2, 5, 12, 13, 14, 15, 19, 21, 31, 50, 64, 78], "befor": [1, 2, 6, 8, 12, 16, 17, 23, 26, 29, 32, 34, 41, 43, 46, 47, 50, 51, 55, 57, 58, 62, 64, 66, 67, 73, 76, 77, 80], "attempt": [1, 7, 14, 21, 34], "1": [1, 3, 6, 8, 9, 10, 14, 15, 16, 17, 20, 22, 25, 26, 28, 29, 30, 31, 34, 35, 36, 37, 38, 39, 40, 41, 43, 46, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 59, 61, 62, 64, 65, 67, 71, 73, 74, 75, 79, 80, 81, 82], "2": [1, 14, 15, 16, 22, 25, 26, 28, 34, 35, 37, 39, 40, 41, 46, 47, 49, 50, 51, 52, 53, 56, 59, 62, 66, 67, 74, 75, 79, 80], "gener": [1, 2, 3, 4, 6, 8, 9, 10, 11, 12, 13, 14, 16, 17, 19, 20, 21, 22, 23, 24, 25, 26, 34, 36, 37, 39, 43, 47, 49, 50, 51, 53, 54, 55, 58, 59, 60, 64, 67, 71, 72, 75, 81, 82], "visual": [1, 2, 5, 8, 11, 12, 14, 16, 18, 19, 21, 23, 27, 32, 33, 37, 45, 50, 53, 57, 58, 65, 68, 69, 72, 73, 82, 83], "studio": [1, 2, 12, 23], "2022": [1, 2, 23, 24, 25, 29, 51, 64, 82], "project": [1, 2, 13, 80], "prompt": [1, 2, 23, 58], "insid": [1, 2, 3, 4, 5, 6, 9, 10, 11, 14, 16, 17, 21, 30, 38, 42, 58, 68, 73, 76, 78, 80], "top": [1, 2, 4, 5, 8, 17, 21, 25, 27, 30, 38, 39, 47, 50, 51, 53, 56, 59, 62, 64, 74, 76, 80], "cd": [1, 2], "g": [1, 2, 3, 6, 8, 10, 11, 14, 15, 21, 22, 24, 25, 27, 34, 40, 45, 47, 50, 54, 55, 56, 59, 60, 62, 67, 68, 72, 76, 79, 80, 81, 82], "17": [1, 2], "A": [1, 2, 6, 7, 8, 9, 10, 11, 15, 17, 21, 22, 25, 29, 37, 38, 39, 41, 42, 45, 47, 50, 51, 56, 57, 58, 59, 62, 64, 66, 67, 70, 72, 74, 75, 76, 77, 80], "x64": [1, 2], "earlier": [1, 2, 50, 70], "substitut": [1, 2, 14, 20, 81], "last": [1, 2, 5, 15, 17, 21, 47, 50, 56, 76], "16": [1, 2, 6, 7, 20, 22, 28, 34, 38, 47, 49, 53, 55, 62, 74, 77, 78, 79, 81], "2019": [1, 2, 19, 23], "15": [1, 2, 17, 28, 50, 55], "2017": [1, 2, 19, 23], "win64": [1, 2], "14": [1, 2], "2015": [1, 2, 23], "12": [1, 2, 15, 16, 25, 28, 39, 49, 51, 59], "2013": [1, 2], "next": [1, 2, 5, 6, 7, 8, 11, 13, 17, 21, 26, 33, 50, 54, 61, 71, 76, 80, 81], "launch": [1, 2, 14, 17, 20, 21, 23, 50, 76, 81, 82], "oe_plugin_": 1, "sln": [1, 2], "wa": [1, 2, 6, 8, 9, 10, 16, 19, 20, 21, 24, 25, 33, 34, 37, 46, 50, 51, 58, 67, 68, 69, 71, 76, 77, 80, 81, 82, 83, 84], "select": [1, 2, 3, 4, 5, 7, 14, 15, 16, 17, 20, 21, 26, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 41, 42, 45, 47, 53, 55, 56, 57, 58, 61, 64, 66, 67, 68, 69, 70, 71, 72, 73, 75, 76, 80, 82], "configur": [1, 6, 7, 8, 11, 16, 17, 19, 21, 22, 47, 76], "debug": [1, 2, 11, 16, 71, 76], "releas": [1, 2, 5, 11, 13, 16, 19, 23, 50, 76, 82], "solut": [1, 2, 19, 47, 49], "all_build": [1, 2], "That": [1, 4, 19, 47, 57, 70], "process": [1, 3, 5, 6, 7, 9, 11, 13, 14, 16, 17, 19, 21, 22, 27, 28, 34, 37, 40, 45, 50, 54, 55, 58, 64, 65, 67, 75, 76, 80, 83], "except": [1, 20, 34, 36, 47, 70, 80], "thu": [1, 74], "trigger": [1, 8, 11, 12, 13, 14, 16, 21, 25, 37, 38, 39, 48, 51, 52, 59, 64, 71, 72, 74, 81, 82], "procedur": [1, 15], "xcode": [1, 2, 12], "final": [1, 2, 9, 14, 16, 17, 20, 21, 50, 58, 64], "period": [1, 2, 64, 75], "critic": [1, 2, 3, 16, 17, 21, 22, 50], "get": [1, 2, 4, 8, 12, 13, 16, 17, 19, 21, 22, 47, 48, 82, 84], "xcodeproj": [1, 2], "live": [1, 2, 3, 5, 10, 21, 37, 50, 63, 84], "scheme": [1, 2, 4, 40, 50, 79, 80], "silicon": [1, 2, 22, 56], "ll": [1, 2, 3, 4, 8, 12, 13, 15, 16, 17, 19, 20, 21, 22, 26, 34, 47, 48, 50, 72, 76], "profil": [1, 2, 22], "us": [1, 2, 3, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 19, 20, 21, 22, 23, 24, 26, 27, 32, 33, 36, 37, 38, 40, 42, 43, 48, 50, 52, 53, 55, 56, 57, 60, 62, 63, 64, 65, 66, 67, 69, 70, 71, 72, 73, 74, 78, 81, 82, 83], "rosetta": [1, 2], "target": [1, 2], "my": [1, 2, 13], "appear": [1, 2, 8, 10, 13, 14, 16, 17, 20, 21, 24, 25, 26, 38, 39, 40, 47, 50, 51, 56, 59, 60, 69, 74, 76, 79], "nativ": [1, 2, 65], "few": [1, 2, 13, 15, 17, 19, 26, 47, 50, 54, 55, 65, 66, 68], "involv": [1, 2, 14, 16, 17, 22, 70, 79], "won": [1, 2, 13, 14, 16, 17, 19, 20, 21, 53, 76, 80], "interest": [1, 2, 4, 12, 13, 17, 50, 55, 67, 71, 72, 83], "pleas": [1, 2, 4, 12, 13, 15, 16, 17, 18, 19, 24, 25, 26, 38, 47, 50, 51, 54, 62, 66, 68, 74, 76, 83], "reach": [1, 2, 14, 15, 19, 38, 40, 46, 47, 55], "out": [1, 2, 3, 8, 12, 13, 14, 15, 17, 19, 20, 21, 23, 25, 32, 34, 39, 40, 47, 49, 50, 51, 56, 58, 59, 64, 67, 74, 76, 80, 81, 83, 84], "org": [1, 2, 12, 13, 15, 18, 22, 38, 50], "info": [1, 2, 3, 6, 7, 8, 11, 12, 13, 16, 17, 21, 34, 35, 50, 56, 76], "default": [1, 3, 5, 6, 7, 8, 9, 11, 14, 15, 16, 17, 21, 24, 25, 29, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 46, 47, 48, 49, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 62, 64, 65, 66, 67, 68, 69, 71, 72, 73, 75, 76, 80, 81], "mode": [1, 2, 10, 11, 16, 20, 25, 35, 39, 51, 59, 64, 66, 72, 73, 76, 81, 82], "modifi": [1, 3, 8, 10, 11, 12, 16, 17, 19, 20, 21, 33, 34, 35, 42, 50, 52, 53, 62, 68, 76, 80, 81], "instead": [1, 2, 3, 6, 8, 10, 11, 19, 24, 45, 58, 60, 65, 68, 69, 71, 73, 76, 80, 82], "makefil": [1, 2, 12], "enter": [1, 2, 4, 23, 40, 53, 58, 65, 72], "unix": [1, 2], "dcmake_build_typ": [1, 2], "variabl": [1, 2, 8, 11, 16, 17, 43, 58], "d": [1, 2, 5, 12, 13, 14, 17, 19, 22, 23, 25, 39, 51, 59], "argument": [1, 2, 8, 11, 28], "perman": [1, 2], "valu": [1, 2, 4, 6, 7, 9, 10, 11, 14, 15, 20, 21, 25, 26, 28, 32, 34, 38, 39, 40, 41, 42, 46, 47, 51, 52, 54, 59, 64, 67, 69, 72, 74, 75, 77, 78, 79, 81, 82], "even": [1, 2, 8, 13, 15, 25, 39, 47, 51, 64, 80], "therebi": [1, 2], "overwrit": [1, 2, 8, 54], "unset": [1, 2], "uvari": [1, 2], "finish": [1, 2, 16, 17, 50], "addit": [1, 3, 5, 6, 7, 8, 9, 10, 11, 12, 15, 17, 18, 20, 21, 22, 25, 32, 39, 43, 47, 50, 51, 54, 56, 59, 62, 68, 70, 71, 73, 74, 75, 76, 77, 82], "sourc": [2, 4, 5, 6, 8, 11, 12, 13, 14, 15, 16, 17, 19, 21, 22, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 58, 59, 60, 61, 62, 64, 65, 66, 67, 68, 69, 70, 71, 73, 74, 75, 77, 78, 80, 82, 83, 84], "code": [2, 6, 7, 8, 9, 11, 12, 14, 16, 17, 19, 20, 21, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 49, 50, 51, 52, 53, 54, 55, 56, 57, 59, 60, 61, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 77, 78, 79, 82, 83], "free": [2, 19, 80], "commun": [2, 4, 5, 6, 8, 12, 13, 14, 19, 21, 23, 25, 26, 34, 39, 50, 51, 52, 59, 76], "edit": [2, 5, 14, 34, 50, 53, 54, 67, 71, 72, 75], "fine": [2, 22, 50, 52, 76, 80], "vs2013": 2, "system": [2, 12, 19, 21, 22, 23, 27, 37, 58, 72, 84], "current": [2, 5, 6, 8, 9, 10, 11, 13, 16, 17, 19, 20, 21, 23, 24, 27, 30, 33, 38, 40, 48, 50, 55, 56, 58, 62, 65, 67, 68, 69, 70, 71, 72, 76, 77, 78, 80, 81, 82, 83], "tool": [2, 13, 14, 19, 35, 50, 68, 78, 79, 80], "plugin": [2, 5, 6, 7, 9, 13, 14, 15, 18, 19, 20, 21, 22, 23, 38, 58, 77, 79, 80, 81, 83, 84], "shortcut": [2, 76], "kei": [2, 4, 5, 14, 19, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 83], "f6": 2, "press": [2, 11, 14, 15, 16, 19, 20, 21, 25, 33, 39, 41, 43, 47, 50, 51, 53, 56, 57, 59, 67, 68, 71, 72, 74, 76, 80], "In": [2, 5, 6, 8, 9, 10, 11, 13, 14, 15, 16, 17, 19, 20, 21, 22, 25, 26, 28, 37, 38, 39, 40, 43, 47, 48, 50, 51, 55, 56, 59, 62, 66, 67, 68, 69, 70, 71, 74, 75, 79, 80, 82], "explor": [2, 50, 80, 83], "right": [2, 4, 5, 10, 12, 13, 14, 15, 16, 17, 19, 20, 21, 25, 27, 28, 30, 31, 32, 38, 39, 40, 42, 45, 47, 50, 51, 53, 55, 56, 57, 62, 65, 67, 68, 69, 70, 71, 73, 80], "startup": [2, 21, 50], "debugg": 2, "store": [2, 5, 7, 8, 9, 10, 11, 13, 17, 19, 21, 22, 28, 38, 48, 50, 58, 62, 78, 80, 81, 82], "termin": [2, 6, 14, 15, 21, 23, 37, 47, 58, 70, 79], "o": [2, 5, 14, 16, 17, 22, 25, 26, 50, 51, 59, 74], "10": [2, 14, 15, 16, 17, 22, 29, 37, 38, 50, 55, 58, 62, 64, 79], "7": [2, 22, 46, 50, 67, 79], "later": [2, 8, 10, 17, 20, 52, 67], "sudo": [2, 23], "content": [2, 8, 21, 82], "readi": [2, 4, 8, 16, 47, 50, 58, 64], "hit": [2, 14, 21], "drop": [2, 5, 16, 17, 20, 21, 23, 25, 26, 27, 34, 37, 38, 39, 45, 47, 50, 51, 54, 56, 58, 59, 61, 64, 65, 66, 68, 70, 74, 76], "down": [2, 15, 16, 17, 20, 25, 26, 27, 34, 37, 38, 39, 47, 50, 51, 54, 56, 59, 64, 66, 68, 71, 74, 76, 80, 81], "menu": [2, 14, 15, 16, 17, 20, 25, 26, 27, 34, 37, 38, 39, 40, 45, 47, 50, 51, 54, 55, 56, 59, 62, 64, 66, 67, 68, 71, 72, 74, 76, 81, 82], "stop": [2, 5, 6, 9, 10, 11, 14, 15, 17, 20, 21, 29, 34, 38, 40, 47, 48, 58, 61, 62, 67, 77, 78, 80, 82], "ubuntu": 2, "debian": [2, 23], "distribut": [2, 7, 14, 19, 32, 62, 76, 80], "apt": 2, "updat": [2, 3, 4, 5, 7, 10, 11, 15, 16, 20, 34, 38, 40, 41, 42, 53, 56, 58, 62, 66, 71, 76, 77, 80, 81, 82], "resourc": [2, 5, 13, 16, 17, 20, 34, 38, 48, 75, 82], "install_linux_depend": 2, "sh": 2, "acquisit": [2, 3, 5, 6, 9, 10, 11, 13, 14, 15, 16, 17, 19, 20, 21, 23, 26, 34, 36, 38, 39, 40, 41, 43, 47, 48, 53, 54, 56, 58, 59, 61, 62, 63, 66, 67, 68, 71, 74, 75, 76, 77, 78, 80, 82, 83], "board": [2, 13, 14, 20, 21, 23, 26, 34, 47, 50, 59, 60, 63, 74, 76, 80, 81, 82, 83], "cp": [2, 23], "40": [2, 14, 17, 23, 38], "rule": [2, 23], "etc": [2, 11, 23, 25, 34, 39, 50, 51, 57, 59, 76, 80], "udev": [2, 23], "servic": [2, 23], "restart": [2, 23, 35, 50], "fedora": [2, 23], "cento": [2, 23], "distro": [2, 22], "udevadm": [2, 23], "conrol": 2, "reload": [2, 21, 23, 50, 58], "success": [2, 7, 26, 27, 55, 76], "binari": [2, 3, 5, 7, 9, 15, 20, 38, 50, 62, 76, 80, 81, 82], "primari": [3, 11, 16, 48, 71, 76], "mean": [3, 13, 15, 19, 34, 38, 40, 47, 56, 58, 62, 64, 71, 76, 79, 80], "extend": [3, 6, 11, 12, 16, 17, 19, 40, 43, 84], "hardwar": [3, 5, 8, 11, 13, 19, 20, 21, 24, 25, 36, 39, 51, 54, 59, 60, 62, 71, 74, 80, 82], "incom": [3, 5, 6, 7, 8, 9, 11, 14, 16, 17, 20, 30, 32, 33, 35, 36, 37, 40, 45, 47, 50, 52, 54, 55, 57, 61, 64, 66, 68, 69, 71, 72, 73, 75, 76, 80], "real": [3, 5, 7, 9, 10, 14, 15, 17, 19, 25, 37, 39, 41, 42, 46, 49, 51, 58, 59, 62, 74, 76, 80], "displai": [3, 5, 8, 11, 12, 14, 17, 20, 21, 27, 33, 45, 49, 50, 56, 62, 64, 73, 74, 76, 80, 81, 82], "output": [3, 5, 8, 11, 14, 15, 16, 21, 29, 32, 34, 45, 46, 47, 48, 50, 54, 64, 70, 72, 76, 81], "read": [3, 6, 7, 8, 9, 12, 14, 15, 19, 20, 21, 22, 23, 34, 37, 50, 58, 62, 64, 71, 76, 80, 82, 84], "still": [3, 14, 15, 20, 34, 47, 50, 58, 82], "datathread": [3, 6], "multi": [3, 14, 32, 35, 68, 75, 76], "band": [3, 14, 15, 28, 32, 47, 50, 64, 76], "integr": [3, 14, 19, 32, 50, 56, 76], "probe": [3, 15, 20, 28, 33, 37, 40, 47, 62, 67, 73, 76, 80, 81, 82, 83], "show": [3, 5, 10, 14, 17, 20, 21, 37, 38, 40, 41, 43, 45, 46, 47, 48, 49, 50, 54, 62, 64, 66, 68, 72, 75, 76], "inform": [3, 4, 5, 6, 7, 8, 9, 10, 11, 15, 16, 17, 20, 21, 34, 37, 40, 45, 47, 49, 50, 56, 58, 62, 65, 75, 76, 77, 78, 79, 80, 82, 83, 84], "about": [3, 5, 6, 7, 8, 9, 11, 12, 13, 14, 16, 17, 20, 21, 22, 23, 33, 34, 40, 47, 49, 50, 55, 56, 62, 72, 75, 76, 77, 79, 80, 82, 83], "doesn": [3, 5, 8, 15, 16, 17, 19, 22, 24, 25, 39, 51, 59, 74], "word": [3, 71, 75, 77, 82], "code_directori": [3, 16, 17], "newplugin": 3, "prefer": [3, 11, 13, 16, 17, 82], "text": [3, 8, 9, 10, 11, 16, 17, 21, 25, 28, 37, 39, 48, 50, 51, 56, 59, 62, 67, 71, 74, 76, 78, 79, 81, 82], "editor": [3, 5, 6, 11, 14, 15, 20, 21, 25, 27, 29, 30, 31, 32, 33, 37, 38, 39, 42, 43, 44, 45, 46, 47, 49, 50, 51, 52, 53, 54, 55, 56, 57, 59, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 80, 82], "implement": [3, 6, 7, 8, 9, 10, 15, 16, 17, 19, 31, 35, 81, 82], "method": [3, 6, 7, 9, 11, 19, 43, 54, 58, 67, 77, 79, 81], "genericprocessor": [3, 5, 6, 10, 11, 16, 17, 43], "repeatedli": [3, 8], "loop": [3, 16, 17, 18, 19, 21, 22, 24, 37, 55, 57, 60, 62, 64], "ha": [3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 16, 17, 19, 20, 21, 24, 25, 28, 30, 36, 37, 39, 40, 43, 45, 47, 50, 51, 53, 55, 56, 58, 59, 62, 64, 65, 67, 69, 70, 71, 74, 75, 76, 77, 80, 81, 82, 84], "chanc": 3, "respond": [3, 6, 14, 15, 48, 57, 61, 71, 81], "canva": [3, 8, 16], "one": [3, 5, 6, 7, 8, 9, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 25, 27, 28, 31, 33, 34, 37, 38, 39, 46, 47, 48, 50, 51, 52, 53, 54, 55, 56, 58, 59, 61, 62, 67, 69, 70, 71, 72, 73, 74, 75, 76, 79, 80, 81, 82], "processorpluginlibrari": 3, "whenev": [3, 5, 8, 9, 10, 11, 16, 17, 19, 20, 25, 32, 37, 39, 40, 45, 48, 50, 51, 59, 61, 67, 72, 76, 80], "libvers": [3, 16, 17], "0": [3, 6, 7, 8, 13, 14, 15, 16, 17, 20, 21, 22, 23, 26, 28, 29, 30, 31, 34, 35, 37, 38, 40, 46, 47, 48, 49, 50, 52, 53, 55, 56, 57, 58, 62, 65, 66, 67, 68, 69, 72, 75, 77, 79, 80, 81], "filter": [3, 4, 5, 8, 14, 16, 17, 20, 21, 27, 29, 30, 31, 32, 33, 34, 43, 44, 46, 48, 49, 50, 52, 54, 55, 58, 62, 64, 66, 67, 68, 70, 71, 72, 73, 76, 80, 81, 82], "sink": [3, 4, 5, 8, 14, 16, 17, 20, 21, 24, 26, 33, 34, 35, 37, 40, 42, 53, 56, 57, 64, 65, 66, 69, 70, 71, 72, 75, 76], "replac": [3, 16, 17, 56, 72, 82], "processorplugin": [3, 8, 16, 17], "class": [3, 6, 7, 8, 9, 10, 11, 16, 17, 19, 43, 58], "creator": [3, 16, 17], "createprocessor": [3, 16, 17], "acquir": [3, 5, 13, 14, 15, 19, 21, 22, 24, 25, 34, 37, 39, 48, 50, 51, 56, 59, 60, 62, 63, 72, 81, 82, 84], "becaus": [3, 8, 10, 11, 14, 16, 17, 19, 21, 23, 24, 25, 31, 33, 39, 49, 51, 54, 59, 60, 62, 69, 71, 74, 77, 82], "sychron": 3, "comput": [3, 5, 14, 15, 20, 21, 25, 26, 31, 34, 37, 39, 50, 51, 56, 57, 59, 62, 66, 72, 76, 80, 81, 82], "clock": [3, 5, 6, 11, 15, 21, 36, 47, 62, 80, 82], "directli": [3, 5, 15, 16, 17, 20, 25, 27, 39, 47, 51, 57, 59, 62, 74, 80], "buffer": [3, 6, 7, 8, 9, 11, 14, 15, 16, 17, 21, 32, 34, 36, 53, 54, 58, 62, 67, 70, 75, 76, 80], "becom": [3, 10, 15, 19, 22, 50, 62, 76, 80], "avail": [3, 4, 5, 6, 7, 8, 9, 11, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 29, 34, 37, 38, 40, 41, 45, 47, 48, 50, 51, 53, 56, 62, 65, 67, 69, 72, 75, 76, 77, 79, 81, 82, 84], "signal": [3, 5, 10, 11, 13, 15, 16, 17, 19, 22, 24, 25, 26, 27, 28, 29, 31, 33, 34, 37, 38, 39, 41, 46, 47, 48, 50, 51, 52, 53, 57, 58, 59, 60, 61, 65, 66, 71, 72, 74, 75, 76, 80, 82, 83], "chain": [3, 5, 10, 11, 13, 15, 16, 17, 19, 22, 24, 25, 26, 27, 33, 34, 37, 39, 40, 41, 46, 48, 50, 51, 52, 53, 57, 58, 59, 60, 61, 62, 65, 71, 72, 75, 76, 80, 82, 83], "datathreadlibrari": 3, "datathreadclassnam": 3, "createdatathread": 3, "By": [3, 7, 9, 15, 20, 21, 30, 33, 34, 36, 38, 40, 47, 50, 53, 55, 62, 66, 67, 69, 80], "recordenginelibrari": 3, "recordengin": [3, 4, 5, 9], "createrecordengin": 3, "recordengineplugin": 3, "reader": [3, 14, 16, 20, 22, 37, 64, 76, 81, 82], "stream": [3, 5, 6, 8, 9, 11, 13, 14, 16, 17, 18, 19, 20, 21, 33, 35, 38, 45, 48, 49, 52, 54, 56, 57, 58, 62, 66, 69, 70, 73, 75, 76, 77, 78, 79, 80, 81, 83], "variou": [3, 8, 14, 33, 64, 81], "save": [3, 11, 14, 15, 20, 21, 22, 33, 34, 38, 42, 49, 53, 58, 72, 73, 76, 77, 79, 80, 81, 82], "filesourcelibrari": 3, "filesourc": [3, 7], "semicolon": [3, 79], "extens": [3, 7, 9, 76], "csv": [3, 50], "json": [3, 7, 30, 34, 41, 42, 53, 56, 75, 80, 81, 82], "filesourceplugin": 3, "createfilesourc": 3, "altern": [3, 9, 14, 27, 47, 50, 54, 56], "glob_recurs": 3, "src_file": 3, "list_directori": 3, "fals": [3, 6, 7, 8, 11, 16, 17, 58], "source_path": 3, "comment": [3, 17], "test": [3, 5, 13, 14, 16, 17, 19, 20, 22, 23, 26, 34, 37, 38, 46, 47, 57, 64], "been": [4, 6, 7, 8, 9, 10, 11, 13, 14, 16, 17, 19, 20, 21, 22, 25, 30, 36, 37, 38, 39, 43, 46, 47, 50, 51, 53, 58, 59, 62, 64, 65, 68, 70, 74, 76, 80, 82, 84], "made": [4, 19, 34, 50, 65, 68, 76, 82], "through": [4, 5, 8, 12, 13, 14, 16, 17, 19, 20, 21, 22, 23, 25, 36, 39, 47, 48, 49, 50, 51, 52, 55, 56, 59, 61, 62, 64, 68, 70, 76, 77, 80, 82], "vet": 4, "polish": [4, 76], "core": [4, 8, 22, 74, 83], "team": [4, 5, 76], "believ": [4, 19], "share": [4, 11, 13, 15, 16, 19, 21, 36, 45, 47, 49, 50, 62, 69, 71, 78, 80, 82], "touch": [4, 12, 13], "login": 4, "credenti": 4, "ci": 4, "organ": [4, 20, 21, 82], "navig": [4, 21, 56, 80], "secret": 4, "action": [4, 5, 8, 11, 20, 21, 38, 42], "artifactoryapikei": 4, "enabl": [4, 5, 10, 11, 15, 17, 21, 23, 30, 47, 50, 54, 62, 64, 80, 81], "openephi": [4, 34, 38, 56, 62, 79, 81], "jfrog": [4, 23], "io": [4, 23, 41, 52, 65], "administr": 4, "left": [4, 5, 8, 14, 15, 20, 21, 24, 25, 27, 38, 39, 40, 45, 47, 50, 51, 53, 56, 59, 60, 62, 67, 68, 69, 70, 74, 80], "sidebar": 4, "corner": [4, 14, 47, 50, 65, 69], "field": [4, 13, 19, 34, 37, 50, 53, 70, 72, 75, 77, 79, 81], "string": [4, 6, 7, 8, 9, 10, 11, 16, 17, 21, 30, 34, 35, 48, 50, 53, 56, 75, 77, 78, 79, 80, 81, 82], "append": [4, 11, 21, 48, 50, 70, 78, 79, 80, 81], "onlin": [4, 15, 21, 33, 64, 76, 80, 82], "psth": [4, 33, 76, 82], "onlinepsth": 4, "descript": [4, 6, 8, 16, 17, 34, 76, 77, 79], "homepag": 4, "artifact": 4, "actual": [4, 8, 14, 15, 17, 19, 20, 47, 50, 54, 82], "openephyslib": 4, "develop": [4, 5, 8, 10, 13, 16, 17, 19, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 58, 59, 60, 61, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 83, 84], "doc": [4, 24, 25, 51], "deploi": 4, "mention": [4, 47], "importantli": [4, 20], "There": [4, 5, 6, 8, 11, 14, 15, 16, 17, 19, 21, 25, 27, 31, 32, 34, 38, 39, 45, 50, 51, 55, 58, 59, 61, 69, 72, 74, 80], "setup": [4, 5, 14, 15, 19, 47, 58, 64], "tag": [4, 56], "latest": [4, 16, 17, 19, 21, 23, 24, 25, 29, 32, 33, 34, 35, 37, 38, 39, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 52, 53, 54, 56, 57, 58, 59, 60, 64, 65, 66, 68, 71, 72, 73, 75], "commit": [4, 5], "number": [4, 6, 7, 8, 9, 11, 12, 14, 15, 16, 17, 21, 25, 28, 29, 30, 32, 34, 35, 37, 39, 40, 48, 50, 51, 56, 57, 58, 59, 64, 65, 67, 69, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80], "semant": 4, "v": [4, 14, 47, 67, 75], "front": 4, "workflow": [4, 34, 52, 72], "part": [4, 11, 19, 21, 22, 35, 38, 75, 80], "refer": [4, 15, 19, 24, 25, 33, 35, 42, 51, 56, 57, 68, 74, 76, 77, 80, 81, 82], "understand": [4, 11, 12, 15, 19, 67, 68, 83], "proper": [4, 62, 80], "push": [4, 5], "publish": [4, 19], "successfulli": [4, 5, 14, 50, 58, 75], "prior": [4, 5, 8, 13, 20, 24, 25, 30, 36, 39, 47, 49, 50, 51, 59, 60, 62, 64, 67, 76, 81], "welcom": [5, 19, 83], "contribut": [5, 13, 19], "mai": [5, 7, 8, 11, 14, 19, 23, 24, 25, 31, 34, 38, 39, 47, 50, 51, 53, 54, 58, 59, 60, 61, 62, 64, 66, 67, 74, 75, 76, 78, 80, 82], "bit": [5, 7, 16, 17, 34, 71, 77, 78, 79], "knowledg": 5, "than": [5, 6, 10, 13, 17, 19, 20, 21, 22, 24, 26, 28, 37, 38, 40, 45, 46, 47, 49, 50, 51, 52, 54, 60, 62, 67, 76, 80, 82], "aim": [5, 80], "high": [5, 8, 14, 15, 19, 21, 24, 26, 33, 47, 50, 54, 55, 57, 58, 60, 61, 70, 78], "overview": [5, 21, 50], "substanti": [5, 13, 82], "minor": [5, 23], "bug": [5, 13, 19], "fix": [5, 12, 34, 38, 78], "strong": 5, "submit": [5, 12], "issu": [5, 12, 13, 19, 21, 22, 47, 50, 58, 80, 83], "describ": [5, 15, 16, 17, 34, 79], "help": [5, 12, 13, 14, 19, 22, 28, 30, 38, 50, 71, 74, 82], "guid": [5, 13, 14, 16, 17, 20, 83, 84], "direct": [5, 19, 22, 27, 28], "encourag": [5, 13, 16, 19], "choic": [5, 19, 23, 26], "accord": [5, 14, 64, 79, 80], "ensur": [5, 8, 10, 13, 14, 15, 16, 17, 19, 21, 34, 47, 50, 80], "avoid": [5, 10, 16, 20, 47, 50, 58, 62, 70, 77, 82], "redund": [5, 68], "effort": [5, 13], "branch": [5, 17, 21, 23], "git": [5, 16, 17], "checkout": 5, "b": [5, 15, 16, 25, 28, 35, 39, 42, 45, 50, 51, 57, 70], "newbranchnam": 5, "u": [5, 12, 13, 17, 26, 34, 47], "pull": [5, 15, 47, 80], "request": [5, 6, 8, 9, 13, 17, 19, 56, 62, 65, 81], "against": [5, 64], "good": [5, 19, 22, 47, 82], "merg": [5, 15, 17, 21, 37, 38, 45, 70, 82], "master": [5, 47, 50], "regener": 5, "upload": [5, 14, 26, 50], "artifactori": [5, 23], "empti": [5, 11, 14, 17], "where": [5, 8, 9, 11, 14, 16, 17, 19, 20, 21, 23, 30, 34, 50, 58, 62, 64, 80, 82], "up": [5, 6, 8, 14, 15, 19, 21, 22, 23, 25, 27, 28, 29, 34, 37, 39, 45, 47, 50, 51, 53, 56, 65, 67, 69, 71, 74, 75, 76, 80, 82, 84], "jucelibrarycod": 5, "draw": [5, 10, 17], "data": [5, 11, 12, 13, 14, 16, 17, 18, 19, 21, 22, 24, 37, 45, 46, 48, 49, 52, 53, 54, 56, 57, 59, 60, 63, 64, 66, 72, 73, 76, 81, 82, 83, 84], "thread": [5, 8, 10, 11, 16, 17, 78, 80], "sampl": [5, 6, 7, 8, 9, 14, 15, 16, 17, 19, 20, 21, 27, 28, 31, 32, 34, 36, 41, 47, 50, 54, 58, 64, 67, 71, 75, 76, 77, 78, 79, 80, 82], "below": [5, 8, 11, 14, 16, 21, 22, 25, 26, 30, 31, 37, 38, 39, 43, 46, 47, 50, 51, 53, 54, 55, 56, 59, 62, 64, 67, 74, 75, 76, 80], "diagram": [5, 15, 49], "showcas": [5, 19], "interact": [5, 11, 17, 21, 58, 76, 80], "solid": [5, 22], "black": [5, 14, 50], "arrow": [5, 14, 55, 67, 70, 71], "denot": 5, "ownership": [5, 19], "respons": [5, 6, 8, 14, 24, 37, 60], "destroi": 5, "dash": 5, "indic": [5, 8, 11, 14, 16, 20, 21, 25, 34, 35, 38, 39, 40, 50, 51, 56, 59, 62, 64, 67, 70, 74, 77, 78, 80], "precis": [5, 13, 14, 15, 40, 54, 55], "callback": [5, 6, 8, 10, 11, 16, 17, 35], "drive": [5, 22, 62, 80], "red": [5, 14, 20, 21, 40, 62, 67, 69, 72, 75], "flow": [5, 21], "processorgraph": 5, "leav": 5, "disk": [5, 15, 20, 21, 62, 67, 68, 77, 80], "recordnod": [5, 11, 14, 48], "sent": [5, 6, 8, 16, 21, 25, 29, 34, 39, 50, 51, 53, 56, 59, 65, 71, 74, 81], "audio": [5, 14, 19, 20, 21, 67, 76], "monitor": [5, 20, 21, 25, 39, 47, 51, 59, 62, 67, 74, 76, 80], "audionod": 5, "dataviewport": 5, "orang": [5, 15, 36, 50, 69, 80], "messag": [5, 6, 9, 11, 16, 23, 26, 34, 35, 38, 48, 50, 53, 62, 72, 76, 77, 79, 82, 83], "pass": [5, 6, 8, 10, 14, 16, 17, 19, 20, 36, 47, 48, 49, 50, 52, 55, 56, 58, 61, 66, 67, 68, 70, 76, 80, 82], "between": [5, 8, 14, 15, 16, 17, 19, 21, 22, 25, 29, 30, 32, 38, 39, 40, 43, 45, 47, 51, 54, 59, 61, 62, 64, 66, 68, 69, 71, 74, 80], "editorviewport": [5, 47], "especi": [5, 15, 33, 56, 58], "import": [5, 6, 13, 14, 15, 16, 21, 22, 27, 28, 30, 34, 35, 50, 58, 62, 65, 71, 80, 82], "construct": [5, 11, 21], "verifi": [5, 23, 50], "openephysappl": 5, "deriv": [5, 6, 8, 10, 13, 79], "juceappl": 5, "initi": [5, 6, 8, 13, 14, 16, 17, 19, 26, 34, 43, 47, 48, 50, 52, 54, 58, 65, 66, 68], "mainwindow": 5, "much": [5, 13, 14, 19, 22, 25, 34, 39, 49, 50, 51, 59, 82], "els": [5, 16, 17, 19], "central": [5, 76, 80], "uicompon": 5, "audiocompon": 5, "receiv": [5, 11, 13, 15, 17, 21, 24, 25, 28, 30, 36, 41, 42, 53, 58, 59, 60, 64, 65, 67, 71, 75, 80], "state": [5, 8, 10, 11, 12, 14, 16, 17, 22, 30, 35, 38, 48, 50, 52, 58, 61, 71, 73, 75, 77, 78, 80, 81, 82], "handl": [5, 6, 8, 16, 17, 19, 21, 38, 48, 55, 82], "compon": [5, 10, 14, 19, 46, 68, 72], "card": [5, 14, 21, 22, 27, 47, 50, 76], "audiodevicemanag": 5, "effici": [5, 28, 77, 82], "audioprocessorgraph": 5, "five": [5, 11, 16, 17], "region": [5, 22, 56, 72, 76], "controlpanel": 5, "occupi": 5, "cpu": [5, 14, 21, 22, 28], "usag": [5, 9], "meter": [5, 14, 21], "space": [5, 8, 10, 16, 20, 21, 40, 56, 62, 77, 79, 80, 82], "plai": [5, 14, 15, 19, 20, 21, 38, 43, 72], "record": [5, 8, 11, 13, 14, 16, 17, 22, 28, 33, 34, 36, 37, 38, 40, 45, 46, 47, 48, 50, 56, 58, 63, 64, 67, 68, 69, 72, 77, 78, 82, 83], "total": [5, 6, 7, 9, 15, 16, 34, 37, 49, 58, 64, 75, 80], "spent": 5, "processorlist": 5, "sit": 5, "hand": [5, 15, 21, 25, 27, 28, 30, 31, 39, 40, 51, 56, 59, 62, 67, 71], "side": [5, 15, 21, 24, 25, 27, 28, 30, 31, 32, 38, 39, 43, 47, 51, 52, 53, 56, 59, 60, 62, 67, 71, 72], "modul": [5, 21, 22, 25, 30, 39, 47, 48, 50, 51, 52, 59, 61, 72, 74, 80], "drag": [5, 20, 21, 23, 30, 31, 34, 38, 40, 50, 56, 68, 69, 72], "onto": [5, 17, 20, 21, 34, 50, 76], "collaps": [5, 20, 21, 80], "room": 5, "bottom": [5, 10, 11, 15, 20, 21, 27, 38, 40, 45, 53, 64, 69, 73], "graphic": [5, 10, 22, 76], "paramet": [5, 6, 7, 9, 10, 11, 21, 24, 25, 26, 29, 32, 33, 34, 40, 42, 44, 46, 50, 52, 53, 54, 55, 57, 58, 59, 60, 64, 69, 72, 75, 76, 81, 82], "paus": [5, 38, 40, 71], "messagecent": [5, 77], "could": [5, 11, 13, 14, 16, 19, 30, 37, 52, 82], "almost": [5, 47, 84], "tab": [5, 10, 12, 14, 17, 20, 21, 25, 32, 33, 39, 50, 51, 53, 54, 56, 57, 59, 68, 69, 73, 74, 80, 82], "claim": 5, "afford": [5, 19], "incred": 5, "flexibl": [5, 19, 20, 21, 22, 25, 39, 51, 58, 59, 70, 80, 84], "screen": [5, 10, 40], "laptop": 5, "pipelin": [5, 21, 38, 76], "compos": [5, 11], "combin": [5, 13, 14, 19, 50, 53, 71], "feed": 5, "never": [5, 8, 11, 14], "input": [5, 6, 7, 11, 13, 14, 15, 16, 19, 21, 25, 26, 29, 30, 33, 34, 36, 39, 45, 50, 51, 53, 55, 56, 57, 58, 59, 62, 64, 67, 71, 72, 73, 74, 80], "valid": [5, 7, 8, 9, 11, 19, 64, 69], "least": [5, 8, 9, 11, 13, 15, 21, 22, 27, 34, 36, 45, 47, 50, 53, 56, 61, 68, 69, 72, 76, 77, 79, 80, 81, 82], "simpl": [5, 9, 17, 31, 54, 76, 77], "bandpass": [5, 14, 20, 27, 33, 55, 58, 64, 67, 76, 80, 81, 82], "resampl": 5, "complex": [5, 19, 20, 21, 22, 45, 46], "spike": [5, 6, 10, 11, 14, 15, 19, 21, 22, 25, 27, 30, 33, 38, 39, 40, 49, 51, 59, 76, 82], "detector": [5, 10, 14, 17, 20, 24, 25, 26, 27, 37, 46, 59, 60, 62, 69, 76, 80], "rippl": [5, 24, 25, 46, 59, 60, 76], "spindl": [5, 46], "continu": [5, 11, 14, 15, 16, 19, 21, 30, 46, 48, 50, 52, 76, 82], "discret": [5, 21], "event": [5, 6, 11, 12, 13, 14, 15, 16, 19, 21, 24, 25, 37, 38, 40, 46, 47, 50, 54, 57, 59, 60, 72, 76], "send": [5, 6, 16, 17, 21, 25, 26, 34, 37, 38, 39, 48, 50, 51, 53, 56, 58, 62, 76, 82, 83], "outsid": [5, 8, 15, 21, 50, 80], "underli": [5, 8, 17, 19, 20, 45, 76, 80], "network": [5, 21, 37, 52, 75, 76, 82], "digit": [5, 13, 14, 15, 21, 22, 25, 31, 36, 37, 39, 40, 45, 49, 50, 51, 57, 59, 73, 74, 76, 80, 81], "arduino": [5, 15, 24, 37, 47, 54, 60, 64, 72, 76, 80], "util": [5, 11, 16, 21, 27, 36, 45, 61, 70, 76, 82], "perform": [5, 8, 9, 11, 13, 15, 19, 21, 22, 24, 25, 28, 29, 42, 45, 47, 49, 50, 55, 59, 60, 64, 66, 76, 80], "split": [5, 70, 80, 82], "node": [5, 8, 9, 11, 14, 15, 21, 26, 34, 36, 37, 45, 46, 47, 48, 50, 57, 58, 61, 67, 68, 72, 76, 77, 78, 79, 81, 82], "stage": 5, "purpos": [5, 11, 15, 19, 20, 21, 48, 50], "channel": [5, 6, 7, 8, 9, 11, 14, 15, 16, 17, 20, 21, 22, 24, 34, 35, 38, 41, 45, 47, 49, 50, 55, 58, 60, 63, 67, 68, 69, 71, 72, 74, 75, 76, 77, 78, 81, 82], "connect": [5, 6, 7, 15, 16, 17, 20, 22, 25, 26, 30, 34, 35, 36, 37, 39, 43, 45, 51, 52, 54, 56, 57, 59, 62, 64, 65, 70, 74, 75, 76, 80, 81], "data_thread": 6, "databuff": [6, 9], "processor": [6, 11, 15, 20, 22, 24, 25, 26, 37, 39, 40, 43, 47, 50, 51, 53, 54, 56, 59, 60, 62, 75, 77, 79, 80, 82], "devic": [6, 13, 15, 21, 22, 57, 64, 72, 76, 80], "whose": [6, 50], "synchron": [6, 7, 8, 11, 13, 18, 20, 21, 45, 76, 77, 78, 82], "intern": [6, 8, 10, 11, 16, 21, 35, 50, 54, 67, 78], "updatebuff": 6, "further": [6, 43, 47, 54, 71, 77], "arbitrari": [6, 34, 67], "independ": [6, 8, 11, 16, 21, 30, 40, 50, 71], "limit": [6, 10, 11, 13, 14, 21, 23, 32, 33, 51, 62, 69, 73, 77, 78, 79], "64": [6, 25, 39, 51, 59, 71, 74, 77, 78], "ttl": [6, 8, 9, 16, 21, 24, 26, 29, 36, 40, 41, 47, 54, 55, 57, 58, 60, 62, 72, 75, 76, 77, 78, 80, 82], "cannot": [6, 7, 8, 23, 25, 28, 34, 39, 47, 48, 50, 51, 52, 53, 59, 62, 64, 67, 68, 69, 70, 78, 82], "fit": [6, 17, 21, 69, 80], "strongli": [6, 22, 80], "rather": [6, 10, 13, 21, 28, 34, 40, 49, 82], "bool": [6, 7, 8, 9, 10, 11, 16, 17, 58], "addtobuff": 6, "size": [6, 9, 10, 14, 16, 17, 21, 32, 33, 34, 76], "multipli": [6, 31, 66, 73, 77, 79], "per": [6, 8, 9, 16, 17, 22, 34, 38, 47, 50, 56, 73, 80, 82], "true": [6, 7, 8, 10, 11, 14, 16, 17, 30, 35, 43, 53, 58, 65, 69, 80, 81], "intact": 6, "lost": [6, 21, 34], "const": [6, 8, 9, 11, 17], "int": [6, 7, 8, 9, 10, 11, 16, 17, 58], "num_channel": [6, 34, 35, 58, 75], "max_samples_per_channel": 6, "1024": [6, 62, 63, 79], "raw_sampl": 6, "float": [6, 7, 8, 9, 10, 11, 15, 16, 17, 34, 47, 58, 72, 77, 78, 79, 81, 82], "scaled_sampl": 6, "max_samples_per_buff": 6, "int64": [6, 7, 9, 11, 17], "sample_numb": [6, 15, 17, 35, 58, 77, 82], "uint64": [6, 9, 16], "event_cod": 6, "doubl": [6, 9, 14, 17, 19, 20, 23, 40, 53, 62, 67], "timestamp": [6, 9, 11, 14, 15, 21, 47, 62, 77, 78, 80, 82], "totalsampl": [6, 16], "acquisitiondevic": 6, "customdatathread": 6, "readdata": [6, 7], "j": 6, "scale_factor": 6, "downstream": [6, 7, 8, 10, 11, 16, 20, 24, 25, 27, 30, 34, 37, 45, 50, 54, 58, 59, 60, 64, 68, 71, 76, 82], "datastream": [6, 8, 16], "void": [6, 7, 8, 9, 10, 11, 16, 17], "updateset": [6, 8, 16, 17], "ownedarrai": [6, 8, 17], "continuouschannel": [6, 8], "eventchannel": [6, 8, 9, 16], "spikechannel": [6, 8, 17], "sourcestream": 6, "deviceinfo": 6, "configurationobject": 6, "pointer": [6, 8, 9, 10, 11, 16, 17], "regist": [6, 50], "sourcebuff": 6, "clear": [6, 8, 10, 17, 21, 42, 53, 69, 71], "previou": [6, 8, 17, 20, 23, 38, 47, 71, 82], "memeb": 6, "device_stream": 6, "identifi": [6, 8, 9, 16, 17, 47, 64, 75, 76, 78], "30000": [6, 14, 34, 35, 50], "rate": [6, 9, 10, 14, 15, 19, 27, 28, 34, 41, 47, 50, 53, 58, 67, 75, 76, 79, 80], "48000": 6, "getlast": 6, "electrod": [6, 8, 9, 13, 17, 20, 22, 27, 35, 50, 53, 56, 58, 64, 68, 75, 77, 78], "ch": [6, 50], "195": [6, 34], "bitvolt": [6, 77, 78, 79], "scale": [6, 15, 22, 34, 36, 41, 47, 53, 56, 66, 69, 82], "8": [6, 16, 20, 21, 25, 34, 35, 38, 39, 40, 47, 50, 51, 56, 74, 75, 79], "maximum": [6, 7, 8, 16, 17, 28, 37, 56, 66, 73], "complet": [6, 7, 8, 13, 16, 17, 21, 35, 50, 79], "foundinputsourc": 6, "determin": [6, 8, 21, 25, 36, 39, 40, 51, 54, 59, 67, 74, 76, 80], "establish": [6, 34], "otherwis": [6, 8, 17, 56, 69, 80], "startacquisit": [6, 8, 11, 16, 17, 48], "begin": [6, 8, 9, 13, 17, 20, 48, 50, 52, 57, 68, 70, 71, 80], "here": [6, 8, 9, 12, 14, 15, 16, 17, 21, 22, 29, 32, 34, 43, 47, 50, 58, 76, 83], "error": [6, 11, 76], "transfer": [6, 50], "cancel": 6, "startthread": 6, "stopacquisit": [6, 8, 11, 17, 48], "isthreadrun": 6, "signalthreadshouldexit": 6, "waitforthreadtoexit": 6, "500": [6, 17, 22, 53, 66], "virtual": [6, 8, 10, 16, 76], "overriden": 6, "std": [6, 8, 10, 11, 16, 17, 64, 67], "unique_ptr": [6, 16, 17], "genericeditor": [6, 10, 11, 16], "createeditor": [6, 8], "sourcenod": 6, "handlebroadcastmessag": [6, 8, 81], "msg": [6, 53], "restrict": [6, 8, 19, 20, 28, 80, 82], "pars": [6, 8, 80], "handleconfigmessag": [6, 8], "openephyshttpserv": [6, 8, 50], "activ": [6, 7, 8, 9, 11, 12, 13, 14, 15, 17, 21, 23, 27, 28, 30, 31, 34, 36, 38, 40, 44, 47, 48, 53, 55, 56, 57, 58, 62, 66, 67, 68, 71, 76, 80, 81, 82], "sender": 6, "acknowledg": 6, "properli": [6, 8, 9, 11, 16, 17, 19, 50, 77, 80], "broadcastmessag": [6, 8, 11], "broadcast": [6, 8, 41, 53, 76, 82], "file_sourc": 7, "repres": [7, 8, 14, 15, 16, 34, 62, 66, 67, 68, 71, 72, 77, 78, 82], "block": [7, 8, 14, 17, 40, 47, 50, 64, 66, 77, 78, 79, 80], "contigu": [7, 56], "given": [7, 8, 14, 20, 22, 40, 47, 50, 53, 56, 62, 69, 73, 81, 82], "simultan": [7, 14, 15, 20, 22, 23, 27, 36, 38, 40, 49, 50, 65, 67, 77, 78, 79], "merger": [7, 15, 36, 47, 62, 70, 76], "reason": [7, 13, 38, 67, 80], "oebin": [7, 20, 38, 76, 77], "getfullpathnam": 7, "fillrecordinfo": 7, "fill": [7, 10, 17, 34, 62, 80], "infoarrai": 7, "eventinfoarrai": 7, "updateactiverecord": 7, "index": [7, 8, 9, 16, 17, 20, 34, 36, 38, 50, 53, 56, 57, 61, 62, 67, 75, 77, 79, 82], "seekto": 7, "seek": 7, "int16": [7, 9, 34], "nsampl": [7, 9], "temporari": 7, "integ": [7, 8, 11, 15, 34, 52, 71, 77, 78, 79, 82], "hold": [7, 8, 16, 17, 21], "processchanneldata": 7, "inputbuff": 7, "outputbuff": 7, "convert": [7, 11, 14, 17, 25, 34, 39, 40, 49, 51, 59, 77, 78, 79, 80], "unscal": 7, "world": 7, "microvolt": [7, 8, 33, 34, 38, 67, 69, 77, 78, 79], "processeventdata": 7, "eventinfo": 7, "startsamplenumb": 7, "stopsamplenumb": 7, "occur": [7, 8, 14, 15, 17, 30, 34, 40, 50, 73, 77, 78, 80], "rang": [7, 8, 10, 14, 15, 16, 17, 19, 20, 28, 33, 40, 42, 47, 50, 54, 62, 66, 67, 69, 80], "minimum": [7, 8, 11, 14, 16, 22, 28, 32, 37, 56, 64], "extern": [8, 11, 14, 16, 17, 20, 21, 48, 50, 56, 71, 76], "bufer": 8, "typic": [8, 11, 14, 15, 46, 49, 53, 77], "ui": 8, "relat": [8, 11, 21, 48, 58, 76, 81], "processorplugineditor": [8, 16], "parentnod": [8, 16, 17], "desiredwidth": [8, 10, 16], "150": [8, 16, 35], "pixel": [8, 10, 17, 40, 53, 56, 72], "expand": 8, "contract": 8, "parametereditor": [8, 10, 16], "widget": [8, 76], "audioprocessoreditor": 8, "make_uniqu": [8, 16, 17], "modif": [8, 17, 58], "overrid": [8, 10, 11, 16, 17], "upstream": [8, 17, 21, 27, 53, 56, 61, 64, 68, 71, 80], "assign": [8, 21, 50, 68, 69, 75], "uint16": [8, 9, 17, 34], "guarante": [8, 15, 19, 21, 34, 47, 76], "uniqu": [8, 9, 21, 47, 50, 53, 57, 68, 71, 75, 82], "persist": 8, "similar": [8, 13, 14, 19, 21, 82], "track": [8, 10, 12, 16, 17, 43, 76, 80, 82], "stereotrod": [8, 20, 38, 67, 68, 69], "tetrod": [8, 20, 22, 30, 40, 67, 68, 69], "delet": [8, 11, 16, 17, 21, 50, 57, 67, 68, 72, 76], "addttlchannel": 8, "256": [8, 16, 21, 48, 52, 71], "particular": [8, 9, 11, 19, 23, 30, 31, 46, 49, 50, 57, 61, 62, 69, 80], "prevent": [8, 16, 32, 50, 62, 68, 75, 80], "audiobuff": [8, 16, 17, 19], "getglobalindex": 8, "posit": [8, 14, 17, 19, 37, 40, 47, 50], "unit": [8, 53, 56, 68, 77], "adc": [8, 14, 28, 40, 49, 50, 77, 78, 79], "volt": [8, 14, 47, 77, 78, 79], "oper": [8, 9, 12, 14, 19, 21, 22, 28, 34, 46, 49, 52, 69, 70, 72, 82, 84], "queri": [8, 81], "getnumsamplesinblock": [8, 16, 17], "streamid": [8, 9, 17], "basi": [8, 19, 32, 47, 76, 82], "id": [8, 9, 11, 14, 17, 21, 26, 50, 53, 56, 57, 58, 62, 68, 75, 77, 79, 81, 82], "filternod": 8, "sometim": [8, 69, 82], "getreadpoint": 8, "globalchannelindex": 8, "global": [8, 50, 62, 69, 76, 77, 82], "phasedetector": 8, "getwritepoint": 8, "notifi": [8, 16, 17], "checkforev": [8, 16, 17], "respondtospik": 8, "deal": [8, 20, 80], "handlettlev": 8, "ttleventptr": [8, 16], "ttlevent": [8, 16], "rel": [8, 9, 17, 30, 36, 54, 55, 66, 72, 77, 80], "arduinooutput": 8, "handleev": 8, "handlespik": [8, 17], "spikeptr": [8, 17], "full": [8, 11, 22, 38, 40, 62, 67, 80], "waveform": [8, 9, 20, 21, 46, 58, 67, 69, 75, 77, 78, 80], "spikedisplaynod": 8, "flipttlstat": 8, "sampleindex": 8, "lineindex": 8, "255": [8, 58, 79], "setttlstat": 8, "ON": [8, 10, 16, 32, 40, 48, 50, 53, 57, 58, 72, 77, 78, 82], "off": [8, 10, 11, 13, 16, 17, 21, 25, 32, 39, 40, 47, 48, 50, 51, 52, 57, 58, 59, 64, 71, 74, 77, 78, 80, 82], "consecut": [8, 32, 68], "softwar": [8, 11, 12, 13, 14, 19, 21, 25, 39, 48, 50, 51, 53, 56, 59, 62, 80, 82, 83], "imposs": [8, 15], "statu": [8, 21, 26, 47, 81, 82], "remot": [8, 13, 22, 65, 82], "config": [8, 20, 21, 34, 50, 53, 62, 73, 81, 82], "ignor": [8, 25, 28, 32, 39, 50, 51, 52, 59, 81], "param": [8, 16, 17], "coreservic": [8, 11], "sendstatusmessag": [8, 11], "center": [8, 11, 17, 26, 43, 53, 56, 62, 72, 77], "carri": [8, 13, 14, 19], "throughout": [8, 14, 82], "backward": [8, 40], "auto": [8, 11, 16, 17, 69, 80, 81], "second": [8, 9, 14, 15, 16, 20, 25, 26, 29, 32, 34, 35, 38, 40, 42, 45, 47, 50, 51, 52, 54, 59, 61, 62, 64, 65, 66, 68, 74, 75, 77, 78, 79, 80, 82], "safe": [8, 19, 78, 80], "addintparamet": [8, 17], "parameterscop": 8, "scope": [8, 16], "defaultvalu": 8, "minvalu": 8, "maxvalu": 8, "global_scop": [8, 16, 17], "stream_scop": 8, "getparamet": [8, 16, 17], "easiest": [8, 12, 17], "overload": [8, 22], "bracket": 8, "parameter_nam": 8, "getvalu": [8, 16, 17], "result": [8, 17, 19, 30, 40, 46, 47, 50, 56, 58], "segfault": 8, "addtextboxparametereditor": [8, 17], "xpo": 8, "ypo": 8, "box": [8, 25, 28, 39, 51, 56, 59, 67, 71, 74], "horizont": [8, 40, 69], "edg": [8, 14, 17, 19, 40, 50, 56, 61, 80], "vertic": [8, 20, 27, 28, 30, 31, 38, 40, 55, 62, 67, 71], "cut": [8, 19, 25, 28, 39, 50, 51, 54, 55, 59, 74], "parametervaluechang": [8, 16, 17], "situat": [8, 50], "move": [8, 14, 17, 21, 38, 56, 72, 82], "written": [8, 15, 16, 21, 62, 67, 68, 75, 77, 78, 79, 80], "recoveryconfig": [8, 11], "xml": [8, 10, 11, 21, 28, 79, 82], "close": [8, 9, 13, 18, 19, 20, 21, 22, 24, 37, 55, 57, 58, 60, 61, 62, 64, 78], "lastconfig": 8, "memori": [8, 22, 50, 65, 77, 80], "undon": [8, 21], "individu": [8, 20, 21, 27, 36, 40, 50, 53, 62, 69, 71, 76, 77, 78, 79, 80, 82, 83], "savecustomparameterstoxml": [8, 10], "xmlelement": [8, 10], "setattribut": 8, "parameternam": 8, "boolean": [8, 81], "loadcustomparametersfromxml": [8, 10, 16], "previous": [8, 20, 21, 34, 38, 50, 72, 80, 82, 84], "parameter1valu": 8, "getintattribut": 8, "parameter1nam": 8, "parameter2valu": 8, "getboolattribut": 8, "parameter2nam": 8, "getstringattribut": 8, "parameter3nam": 8, "suppli": [8, 14], "record_engin": [9, 62, 81], "straightforward": [9, 11, 19, 48, 65, 80], "getengineid": 9, "openfil": 9, "rootfold": 9, "experimentnumb": 9, "recordingnumb": 9, "immedi": [9, 17, 19, 34, 50, 55, 57, 65, 71, 72, 77, 81], "zero": [9, 20, 25, 26, 34, 39, 40, 47, 51, 52, 54, 59, 74], "experi": [9, 12, 13, 14, 19, 20, 21, 22, 24, 25, 29, 37, 39, 47, 48, 50, 51, 59, 60, 62, 64, 77, 78, 79, 80, 83, 84], "increment": 9, "taken": [9, 21, 50], "closefil": 9, "cleanup": 9, "writecontinuousdata": 9, "writechannel": 9, "realchannel": 9, "timestampbuff": 9, "timestampabuff": 9, "writeev": 9, "eventpacket": 9, "writespik": 9, "electrodeindex": 9, "sort": [9, 22, 40, 49, 53, 56, 58, 75, 76, 77], "writetimestampsynctext": 9, "samplenumb": 9, "sourcesampler": 9, "hz": [9, 11, 15, 17, 34, 36, 46, 47, 50, 54, 56, 64, 80], "npyfil": 9, "intend": [9, 47, 79], "python": [9, 13, 14, 15, 28, 34, 50, 56, 62, 65, 75, 81], "matlab": [9, 13, 15, 21, 28, 47, 56, 62, 76, 80, 81], "npytyp": 9, "constructor": [9, 10, 16, 17], "dimension": 9, "absolut": [9, 11, 13, 30], "metadata": [9, 11, 17, 77, 79, 80], "common": [9, 13, 15, 33, 45, 50, 73, 76, 82], "integerfil": 9, "file1": 9, "basetyp": 9, "floatfil": 9, "file2": 9, "writedata": 9, "size_t": 9, "increaserecordcount": 9, "count": [9, 16, 17, 33, 40, 42, 50, 53, 58, 67, 73, 80, 82], "increas": [9, 17, 21, 69, 75], "amount": [9, 10, 14, 21, 40, 80, 82], "sequentialblockfil": 9, "compact": [9, 77, 80, 82], "often": [9, 19, 21, 26, 71], "dat": [9, 77], "neurosci": [9, 13, 19, 78], "nchannel": 9, "filenam": [9, 79], "startpo": 9, "visualizereditor": [10, 17], "small": [10, 17], "viewport": [10, 11, 15, 17, 20, 45, 47, 50], "along": [10, 13, 14, 16, 17, 21, 38, 40, 69], "estat": 10, "rich": [10, 12], "multichannel": [10, 83], "lfp": [10, 14, 16, 20, 21, 27, 28, 30, 33, 34, 37, 41, 45, 47, 50, 64, 67, 76, 82], "comprehens": 10, "adjust": [10, 21, 31, 38, 41, 47, 56], "cross": [10, 14, 19, 20, 24, 25, 29, 37, 39, 40, 46, 47, 51, 56, 59, 60, 68, 76], "simpli": [10, 12, 13, 20, 28, 30, 47, 50, 54, 76], "upper": [10, 14, 20, 27, 28, 32, 46, 50, 53, 55, 57, 65, 68, 69, 73], "tabtext": 10, "titl": [10, 15, 17], "width": [10, 16, 17, 33, 40, 69, 72], "member": [10, 16, 17, 34], "createnewcanva": [10, 17], "anim": [10, 17, 64], "beginanim": 10, "timer": 10, "disabl": [10, 14, 16, 17, 30, 40, 47, 50, 55, 57, 62, 64, 80, 81], "endanim": 10, "anyth": [10, 15, 17, 20, 21], "pure": 10, "refresh": [10, 17, 41], "cycl": [10, 16, 21, 28, 47, 50, 55], "drawn": [10, 72], "repaint": 10, "paint": 10, "refreshr": 10, "refreshst": 10, "visibl": [10, 11, 12, 16, 27, 40, 62, 67, 69], "propag": [10, 67], "background": [10, 21, 27, 40, 80], "layout": [10, 16, 20, 33, 49], "resiz": [10, 16, 72], "boundari": [10, 40], "inherit": [10, 17, 43, 47, 50, 80], "what": [10, 12, 13, 14, 15, 16, 17, 19, 21, 25, 39, 51, 59, 69, 74, 76, 80], "savevisualizereditorparamet": 10, "attribut": [10, 78], "loadvisualizereditorparamet": 10, "itself": 10, "overridden": [10, 80], "interactiveplot": [10, 17], "2d": [10, 17, 66, 68], "chart": [10, 17], "behavior": [10, 12, 14, 15, 16, 19, 21, 31, 47, 50], "vector": [10, 17, 64], "y": [10, 16, 17, 28, 40, 53, 66, 69, 72], "colour": [10, 17], "white": [10, 40, 69], "0f": [10, 16, 17], "opac": 10, "plottyp": [10, 17], "element": [10, 16, 17, 21, 34], "axi": [10, 17, 25, 39, 51, 53, 59, 66, 69], "color": [10, 33, 38, 40, 49, 53, 56, 66, 69, 72], "dot": [10, 68], "scatter": 10, "bar": [10, 15, 20, 27, 30, 38, 40, 42, 62, 67, 71, 76], "xlabel": [10, 17], "label": [10, 11, 16, 20, 25, 51, 61, 69, 71], "ylabel": [10, 17], "setinteract": [10, 17], "interactiveplotmod": [10, 17], "pan": 10, "zoom": [10, 38, 50, 56], "showxaxi": 10, "showyaxi": 10, "showgrid": 10, "grid": 10, "setbackgroundcolour": [10, 17], "setgridcolour": 10, "setaxiscolour": 10, "ax": 10, "setrang": [10, 17], "xyrang": [10, 17], "consist": [10, 11, 73, 75, 77, 80], "four": [10, 21, 38, 40, 50, 55, 57, 69], "min": [10, 17, 50, 64], "max": [10, 17, 33, 67], "getrang": 10, "And": [11, 16, 17, 22, 50], "rest": [11, 30, 81, 82], "conveni": [11, 19, 80], "plugin_api": [11, 17], "detail": [11, 20, 21, 22, 29, 34, 35, 46, 53, 55, 64, 67, 81], "engin": [11, 13, 16, 17, 64, 80], "cover": [11, 83], "ideal": [11, 19, 21, 22, 27, 80], "logger": 11, "cout": 11, "printf": 11, "print": [11, 16, 35, 50, 76], "consol": [11, 16, 50, 76], "logc": [11, 16], "logd": [11, 16], "arg1": 11, "arg2": 11, "arg3": 11, "sever": [11, 27, 55, 73, 75, 80], "reserv": 11, "loga": 11, "logb": 11, "loge": 11, "cerr": 11, "logf": 11, "logg": 11, "graph": [11, 12, 16, 45, 62], "getguivers": 11, "aspect": [11, 19], "getdefaultusersavedirectori": 11, "getsavedstatedirectori": 11, "although": [11, 21, 46, 65, 72, 80, 84], "saverecoveryconfig": 11, "recoveri": [11, 29, 79], "wish": [11, 62], "crash": [11, 13, 16, 17, 19, 21, 26, 50, 58, 77, 78], "updatesignalchain": 11, "remov": [11, 17, 21, 23, 24, 25, 39, 40, 49, 51, 53, 59, 60, 62, 67, 72, 73, 74, 76, 79, 80, 82], "properti": [11, 43, 46], "highlighteditor": 11, "highlight": [11, 20, 40, 50], "seen": [11, 32, 45, 50], "loadsignalchain": 11, "getacquisitionstatu": 11, "frequent": 11, "setacquisitionstatu": 11, "turn": [11, 15, 16, 21, 26, 36, 47, 50, 67, 75, 80], "getrecordingstatu": 11, "startrecord": [11, 48], "stoprecord": [11, 48], "setrecordingstatu": 11, "getsoftwaretimestamp": 11, "millisecond": [11, 13, 14, 15, 17, 21, 24, 25, 29, 32, 33, 42, 52, 59, 60, 64], "midnight": 11, "jan": 11, "1st": 11, "1970": 11, "utc": 11, "getsoftwaresampler": 11, "ticker": 11, "frequenc": [11, 16, 25, 32, 33, 34, 38, 39, 46, 50, 51, 55, 59, 64, 66, 72, 74, 80], "1000": [11, 14, 16, 17, 22, 38, 79], "getrecordingparentdirectori": 11, "setrecordingparentdirectori": 11, "dir": 11, "affect": [11, 31, 40, 47, 64, 69, 80, 82], "appli": [11, 19, 23, 28, 31, 33, 47, 48, 49, 50, 67, 68, 70, 72, 76, 81, 82], "setrecordingdirectori": 11, "nodeid": 11, "applytoal": 11, "getavailablerecordnodeid": 11, "setrecordingdirectorybasenam": 11, "basenam": 11, "prepend": [11, 34, 48, 80], "date": [11, 17, 21, 48, 78, 81], "getrecordingdirectorynam": 11, "createnewrecordingdirectori": 11, "ndode": 11, "getrecordingdirectoryprependtext": 11, "newli": [11, 16, 17, 58, 76], "getrecordingdirectoryappendtext": 11, "setrecordingdirectoryprependtext": 11, "setrecordingdirectoryappendtext": 11, "allrecordnodesaresynchron": 11, "sync": [11, 13, 15, 36, 47, 50, 62, 80], "section": [12, 17, 19, 20, 40, 50, 56, 62, 68, 80, 81, 82], "meant": [12, 19, 20, 32, 70], "anyon": [12, 19], "gain": [12, 31, 46, 50, 73, 79], "better": [12, 13, 19, 21, 22, 45, 83], "inner": 12, "No": [12, 24, 25, 26, 29, 32, 34, 35, 37, 39, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 52, 54, 56, 57, 58, 59, 60, 64, 65, 66, 71, 72, 73, 74, 75, 78], "matter": [12, 19, 82], "improv": [12, 22, 25, 39, 51, 54, 59, 74], "observ": [12, 19, 47], "unexpect": [12, 16, 19], "keep": [12, 14, 17, 21, 22, 23, 43, 50, 71, 80], "thing": [12, 21, 22, 80, 82], "lower": [12, 14, 28, 34, 40, 46, 50, 54, 62, 69, 82], "neural": [12, 14, 19, 21, 24, 25, 37, 39, 47, 51, 59, 60, 64, 74], "among": [12, 78, 82], "maintain": [12, 30], "familiar": [12, 19, 20, 50], "our": [12, 13, 14, 16, 17, 19], "futur": [12, 13, 19, 54, 76, 81], "tackl": 12, "idea": [12, 19, 43], "hesist": 12, "term": [12, 14, 58, 71], "donat": 12, "m": [13, 14, 16, 17, 29, 32, 33, 37, 44, 48, 53, 58, 64, 65, 66, 67, 76, 77, 78, 80, 81], "worri": [13, 22, 80], "answer": 13, "inquiri": 13, "forum": [13, 83], "post": [13, 14, 53], "question": [13, 19, 22], "yourself": [13, 19, 50], "assist": 13, "email": 13, "fund": [13, 19], "sale": 13, "brain": [13, 14, 19, 22, 49, 50, 56], "u24": [13, 19], "award": [13, 19], "allen": [13, 19], "institut": [13, 19], "robust": [13, 32, 67, 77, 79], "enough": [13, 15, 19, 22, 34, 61, 67], "seriou": 13, "scientif": [13, 19], "research": 13, "collect": [13, 19, 21], "over": [13, 15, 21, 34, 37, 42, 46, 50, 53, 62, 66, 75, 80], "400": 13, "preprint": 13, "major": [13, 82], "journal": [13, 19, 64], "happen": [13, 14, 15, 16, 17, 19, 36, 58, 68], "hour": 13, "uninterrupt": 13, "seem": 13, "benefit": 13, "larg": [13, 32, 38, 50, 67, 80], "fraction": [13, 14, 20, 21, 62, 72], "consid": [13, 19, 22, 29], "roadmap": 13, "As": [13, 15, 19, 34, 40, 50, 56, 58, 68, 69, 80], "nearli": 13, "extrem": [13, 15, 29], "But": [13, 15, 19, 22], "love": 13, "learn": [13, 19, 29, 76, 83], "hesit": 13, "let": [13, 16, 17, 26, 47], "know": [13, 15, 16, 19, 26, 34, 47, 76, 77, 81], "face": [13, 82], "difficult": [13, 19], "analyz": [13, 32, 46, 50, 54, 55], "uninterpret": 13, "parallel": [13, 22, 25, 39, 45, 51, 59, 70, 74, 80], "approach": 13, "http": [13, 21, 23, 34, 48, 50, 53, 56, 62, 81], "server": [13, 21, 34, 48, 50, 53, 62, 81], "anoth": [13, 14, 15, 19, 20, 31, 53, 62, 76, 80], "analog": [13, 14, 21, 22, 25, 39, 40, 49, 50, 51, 59, 74], "sequenc": [13, 54], "align": [13, 14, 15, 21, 47, 50, 80], "offlin": [13, 15, 22, 49, 50, 62, 80], "tutori": [13, 14, 15, 16, 17, 56, 62, 84], "camera": [13, 72], "frame": [13, 72], "led": [13, 14, 72], "view": [13, 16, 40, 42, 53, 54, 56, 65, 68, 69, 70, 72], "bonsai": [13, 19], "video": 13, "human": 13, "eeg": [13, 83], "none": [13, 19, 20, 40, 62, 80, 81], "scalp": 13, "adapt": [13, 14, 15, 19, 25, 39, 51, 59, 72, 74], "openbci": 13, "myself": 13, "foremost": 13, "feedback": [13, 14, 21, 22, 24, 33, 37, 55, 57, 60, 64, 72], "everyon": [13, 19], "subscrib": [13, 35], "someon": [13, 19], "skill": 13, "advoc": 13, "spend": 13, "portion": [13, 19, 45, 54], "happi": 13, "suggest": [13, 18], "supervis": 13, "grant": 13, "gladli": 13, "letter": [13, 80], "licens": 13, "commerci": [13, 50], "under": [13, 15, 17, 19, 82], "gpl": 13, "3": [13, 14, 22, 25, 26, 37, 39, 46, 47, 50, 51, 53, 56, 57, 58, 59, 62, 67, 76, 79, 81], "compani": [13, 19], "scratch": [13, 16, 17, 68], "One": [14, 22, 29, 38, 47, 50, 54], "abil": [14, 17, 22, 50, 65], "reconfigur": [14, 76], "fly": [14, 21, 47], "detect": [14, 15, 21, 25, 30, 32, 33, 34, 38, 39, 40, 46, 47, 49, 50, 51, 54, 55, 57, 59, 68, 70, 74, 75, 77, 78, 79, 80], "salient": 14, "electr": 14, "optic": 14, "stimul": [14, 21, 22, 24, 25, 38, 52, 55, 59, 60, 62, 64, 76], "modular": 14, "natur": 14, "speed": [14, 22, 34], "20": [14, 16, 17, 21, 35, 53, 64, 66], "30": [14, 15, 17, 25, 38, 39, 47, 50, 51, 59, 67, 74], "delai": [14, 32, 37, 47, 82], "reduc": [14, 22, 32, 37, 45, 47, 50, 80], "explain": [14, 16, 17, 82], "nevertheless": 14, "timescal": [14, 40], "paradigm": 14, "deliv": [14, 21, 64, 72], "onset": 14, "seizur": [14, 46], "ongo": 14, "oscil": [14, 24, 25, 38, 59, 60], "threshold": [14, 20, 25, 29, 37, 39, 46, 51, 56, 59, 64, 69, 74, 75], "capabl": [14, 16, 19, 22, 26, 68, 69, 80], "discuss": 14, "tradeoff": [14, 19], "5v": [14, 47, 80], "usb": [14, 21, 22, 26, 50, 57, 63, 72, 76, 82, 83], "cabl": [14, 15, 22, 47, 50], "headstag": [14, 21, 22, 77, 78, 79], "hdmi": [14, 25, 51, 59, 74], "voltag": [14, 33, 40, 47, 54, 56], "uno": [14, 26], "bnc": [14, 25, 39, 47, 51], "femal": 14, "bind": [14, 65], "thorlab": 14, "t1452": 14, "4": [14, 20, 22, 23, 27, 34, 35, 40, 46, 50, 54, 62, 66, 67, 72, 74, 78, 79], "hookup": 14, "wire": [14, 22, 50], "5": [14, 15, 20, 22, 35, 40, 47, 50, 54, 62, 64, 75, 77, 79, 80, 82], "long": [14, 15, 22, 34, 50, 61, 79, 80], "bare": 14, "firmwar": [14, 26], "blink": 14, "sketch": [14, 26], "pin": 14, "0v": 14, "interv": [14, 15, 16, 17, 21, 36, 38, 47, 52, 71, 75, 80, 82], "program": [14, 19, 21, 23, 47, 50], "nation": [14, 15, 22, 50], "instrument": [14, 15, 22, 50], "daq": [14, 47], "cc": 14, "01": 14, "basic": [14, 15, 17, 72], "port": [14, 21, 22, 25, 26, 34, 35, 37, 43, 50, 51, 52, 59, 72, 74, 75, 81, 82], "yellow": [14, 20, 25, 39, 40, 50, 51, 59, 62, 74], "puls": [14, 15, 24, 25, 26, 29, 39, 47, 51, 54, 60, 64, 72, 76, 80, 81, 82], "pal": [14, 24, 54, 60, 64, 72, 76, 82], "sanwork": 14, "firmata": 14, "standardfirmata": [14, 26], "schemat": 14, "2nd": 14, "4th": [14, 67], "13": [14, 37, 46, 47], "ground": [14, 47, 50], "neg": [14, 19, 40, 46, 69], "rhythm": [14, 24, 25, 39, 51, 59, 60, 82], "fpga": [14, 63, 76, 82], "IN": [14, 59], "correspond": [14, 15, 16, 25, 27, 38, 39, 41, 47, 50, 51, 53, 56, 57, 59, 64, 74, 77, 78, 80], "trig": 14, "alwai": [14, 16, 17, 34, 40, 50, 67, 77, 80], "screenshot": [14, 43, 46], "emit": [14, 16, 72, 80], "veri": [14, 16, 21, 22, 23, 33, 55, 67, 70, 79, 80, 82], "short": [14, 47, 50], "coincid": 14, "rise": [14, 32, 40, 50, 61, 80], "shortli": [14, 47], "thereaft": 14, "low": [14, 15, 22, 25, 39, 50, 51, 54, 55, 57, 59, 61, 66, 70, 74], "transit": [14, 15, 16, 34, 47], "pick": [14, 19], "correctli": [14, 15, 34, 58], "minut": [14, 29, 50, 62, 65], "snippet": [14, 33], "plot": [14, 17, 42, 43, 54, 66, 69, 75], "open_ephi": [14, 50], "analysi": [14, 22, 47, 50, 62, 79, 80], "session": [14, 77, 78, 79, 80], "matplotlib": [14, 58], "pyplot": 14, "plt": [14, 17], "df": 14, "datafram": 14, "t_respons": 14, "t_trigger": 14, "hist": 14, "np": [14, 28, 50, 81], "arang": [14, 50], "around": [14, 19, 21, 30, 37, 43, 53, 80, 82], "larger": [14, 19, 21, 32], "henc": 14, "higher": [14, 26, 28, 47, 50, 55, 72, 73], "throughput": 14, "overhead": [14, 33, 70], "exchang": 14, "consum": [14, 65], "smaller": [14, 17, 67], "overal": [14, 19, 21, 45, 50, 56, 72, 82], "transmit": [14, 30, 43, 50, 71], "protocol": 14, "packet": [14, 34, 72], "khz": [14, 15, 25, 38, 39, 47, 50, 51, 59, 67, 74], "transmiss": [14, 50, 65], "ethernet": 14, "pcie": [14, 22, 50], "easili": [14, 19, 22, 30, 33, 45, 50, 67, 80], "control": [14, 15, 20, 22, 23, 27, 32, 47, 54, 63, 69, 72, 76, 80, 82, 83], "panel": [14, 15, 20, 27, 76, 80], "44": 14, "23": 14, "desir": [14, 16, 19, 28, 37, 38, 45, 50, 58, 61, 62, 76, 80], "mind": [14, 21, 71], "caus": [14, 17, 26, 40, 58, 69, 82], "diminish": 14, "due": [14, 21, 30, 34, 50, 62, 73], "try": [14, 16, 23, 26, 57, 65, 80], "unus": [14, 37, 47], "map": [14, 15, 17, 33, 41, 50, 56, 76, 77], "ve": [14, 16, 19, 20, 22, 26, 47, 50], "gotten": 14, "data_stream_16ch_hippocampu": [14, 38], "dataset": [14, 38, 78], "replic": [14, 38], "theta": [14, 38, 56], "siegl": [14, 19, 24, 25, 26, 27, 28, 30, 31, 33, 36, 39, 40, 42, 45, 48, 49, 50, 51, 52, 53, 55, 56, 57, 59, 60, 61, 62, 66, 67, 68, 69, 70, 77, 78, 79], "et": [14, 19, 46, 67], "al": [14, 19, 46, 67], "2014": [14, 19], "asynchron": [15, 19, 31, 47, 80], "ident": 15, "neither": 15, "nor": [15, 16, 34], "exactli": [15, 17, 29, 62, 80], "advertis": [15, 19], "therefor": [15, 21, 30, 37, 47, 49, 50, 55, 56, 67, 69, 70, 71, 80], "nidaq": 15, "accur": [15, 50, 53, 54], "electophysiog": 15, "ten": [15, 19], "kilohertz": 15, "logic": [15, 16, 47, 71], "behind": 15, "approxim": [15, 24, 25, 40, 59, 60], "slightli": [15, 50, 76, 77], "drift": [15, 29], "apart": 15, "cours": 15, "compar": [15, 32], "were": [15, 19, 20, 70, 71, 72, 82], "114": 15, "25": [15, 16, 17, 40, 50, 53], "127": [15, 52, 65], "27": 15, "112": 15, "125": [15, 40], "With": [15, 20], "translat": [15, 76], "vice": 15, "versa": 15, "factor": [15, 41, 47, 82], "ratio": [15, 25, 39, 47, 51, 59, 74], "t_first_a": 15, "t_last_a": 15, "t_first_b": 15, "t_last_b": 15, "100": [15, 16, 17, 31, 32, 33, 40, 64, 65, 66, 76, 79, 80, 81], "98": [15, 56], "0204": 15, "timestamps_b": 15, "timestamps_a": 15, "plug": [15, 57], "02": 15, "111": 15, "96": 15, "round": 15, "nearest": [15, 25, 39, 51, 59, 74], "ones": [15, 26], "piec": [15, 19, 24, 60, 71], "hood": [15, 19, 82], "troubleshoot": 15, "ni": [15, 22, 50, 76], "daqmx": [15, 22, 50, 76], "basest": [15, 22, 47, 80, 82], "rout": [15, 75, 77], "physic": [15, 16, 47, 50, 77, 80], "serv": [15, 50], "sma": [15, 47, 50], "connector": [15, 25, 39, 50, 51, 59], "regular": [15, 16, 17, 21, 36, 52, 80], "ambigu": [15, 77, 80, 82], "accept": [15, 20, 28, 56, 76], "pseudo": [15, 47], "random": [15, 32, 36, 58, 80], "unambigu": [15, 47], "necessarili": [15, 50], "nidaqmx": [15, 22, 50], "insert": [15, 16, 45, 47, 50, 56], "remain": [15, 19, 62], "design": [15, 16, 19, 21, 24, 25, 33, 47, 50, 54, 58, 63, 64, 72, 80, 82, 83, 84], "At": [15, 16, 17, 19, 21, 22], "wait": [15, 34], "until": [15, 17, 19, 34, 40, 62, 75], "v0": [15, 38, 40, 56], "6": [15, 22, 34, 37, 38, 40, 46, 48, 50, 55, 56, 67, 68, 69, 72, 77, 79], "npy": [15, 77, 80, 82], "belong": [15, 21, 30], "equat": [15, 47], "unclear": 15, "customiz": 16, "tradition": 16, "transistor": [16, 47, 71], "circuit": [16, 47], "simplic": 16, "stuck": [16, 17], "ttleventgener": 16, "getlibinfo": [16, 17], "libraryinfo": [16, 17], "codebas": [16, 17], "refus": [16, 17], "mismatch": [16, 17, 50], "apivers": [16, 17], "plugin_api_v": [16, 17], "numplugin": [16, 17], "num_plugin": [16, 17], "getplugininfo": [16, 17], "plugininfo": [16, 17], "switch": [16, 17, 38, 40, 47, 66], "pluginmanag": [16, 17], "openephysplugin": [16, 17], "shown": [16, 17, 30, 40, 41, 47, 56, 62, 69, 80], "factori": [16, 17], "processorpluginspac": [16, 17], "break": [16, 17], "renam": [16, 17, 23, 82], "ttleventgeneratoreditor": 16, "advis": [16, 17], "broke": 16, "alter": [16, 21, 76], "hard": [16, 19, 21, 33], "subsequ": 16, "announc": [16, 23], "ttlchannel": 16, "addprocessor": 16, "processorinfo": 16, "reset": [16, 21, 25, 35, 39, 40, 51, 53, 58, 59, 73, 74, 80], "counter": [16, 80], "care": [16, 58], "getdatastream": [16, 17], "getstreamid": [16, 17], "startsampleforblock": 16, "getfirstsamplenumberforblock": [16, 17], "eventintervalinsampl": 16, "getsampler": [16, 17], "outputlin": 16, "offset": [16, 17, 25, 29, 34, 36, 39, 40, 47, 51, 59, 74, 82], "eventptr": 16, "createttlev": 16, "addev": 16, "flip": 16, "editorhead": 16, "destructor": [16, 17], "assert": [16, 17], "leak": [16, 17], "juce_declare_non_copyable_with_leak_detector": [16, 17], "5000": [16, 17], "tri": [16, 26], "lead": [16, 17, 19, 50], "addfloatparamet": 16, "autom": 16, "50": [16, 17, 21, 40, 47], "180": [16, 54], "addsliderparametereditor": 16, "po": 16, "stringarrai": 16, "addcategoricalparamet": 16, "ttl_line": [16, 53], "addcomboboxparametereditor": 16, "manualtriggerbutton": 16, "listen": [16, 17, 21, 25, 27, 39, 51, 59, 75, 82], "buttonclick": 16, "updateview": 16, "utilitybutton": 16, "triggerbutton": 16, "bound": [16, 21, 46, 56], "font": 16, "fira": 16, "addlisten": [16, 17], "addandmakevis": [16, 17], "setbound": [16, 17], "70": [16, 56], "setnextvalu": 16, "getlabel": 16, "manualtrigg": 16, "getprocessor": [16, 17], "manual_trigg": 16, "addcustomparametereditor": 16, "60": [16, 50], "95": 16, "addstringparamet": 16, "segment": [16, 17], "fault": [16, 17], "header": [16, 77], "shouldtriggerev": 16, "eventwastrigg": 16, "triggeredeventcount": 16, "eventintervalm": 16, "getnam": [16, 17], "equalsignorecas": [16, 17], "enhanc": [16, 21, 27], "practic": [16, 19, 25, 39, 47, 51, 59, 74], "kind": [16, 76], "duti": [16, 47, 80], "too": [17, 21, 32, 34, 54, 61], "208": 17, "drawcompon": 17, "soon": [17, 19, 58, 65, 67], "46": 17, "visualizerplugin": 17, "62": [17, 40], "rateview": 17, "65": 17, "instanc": [17, 37, 58, 65, 72], "visualizerplugineditor": 17, "visualizerplugincanva": 17, "somewher": [17, 80], "struct": [17, 81], "sampler": [17, 28, 64, 79], "isact": 17, "electrodemap": 17, "entri": [17, 65, 79], "spikechan": 17, "isvalid": 17, "combobox": 17, "rateviewereditor": 17, "rateviewereditor_h_defin": 17, "visualizereditorhead": 17, "rateviewercanva": 17, "p": [17, 24, 25, 29, 32, 33, 34, 35, 37, 39, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 52, 53, 54, 56, 57, 58, 59, 60, 64, 65, 66, 68, 71, 72, 73, 75, 76], "200": [17, 33, 67], "addselectedchannelsparametereditor": 17, "105": [17, 35, 56], "210": [17, 35], "comboboxchang": 17, "electrodelist": 17, "120": 17, "selectedstreamhaschang": 17, "getelectrodesforstream": 17, "electrodesforstream": 17, "rateviewernod": 17, "selectedstream": 17, "currentelectrod": 17, "additem": 17, "setselectedid": 17, "sendnotif": 17, "intparamet": 17, "window_s": 17, "bin_siz": 17, "75": 17, "definit": [17, 57, 62], "windows": 17, "binsiz": 17, "extract": [17, 29, 72, 80], "back": [17, 19, 25, 30, 38, 39, 43, 51, 57, 58, 70], "getnumitem": 17, "setactiveelectrod": 17, "gettext": 17, "de": [17, 29, 64, 67], "processor_": 17, "darkslategrei": 17, "800": 17, "blank": [17, 20, 76], "give": [17, 19, 21, 76], "relai": [17, 81], "nullptr": 17, "helper": 17, "setwindowsizem": 17, "windowsize_": 17, "setbinsizem": 17, "binsize_": 17, "setsampler": 17, "setplottitl": 17, "samplerate_": 17, "those": [17, 36, 50, 55, 62, 76, 79], "tile": [17, 77], "addspik": 17, "incomingspikesamplenum": 17, "sample_num": [17, 75], "geteditor": 17, "getcurrentstream": 17, "getchannelinfo": 17, "getsamplenumb": 17, "bring": [17, 21, 25, 28, 39, 45, 51, 53, 59, 67, 69, 71, 74, 76, 80], "fall": [17, 32, 50, 61, 80], "recent": [17, 19, 21, 50, 63], "mostrecentsampl": 17, "setmostrecentsampl": 17, "samplenum": 17, "group": [17, 22, 30, 40, 67, 76, 78, 80, 82], "recomputebinedg": 17, "recomput": 17, "binedg": 17, "spikecount": 17, "insertmultipl": 17, "recount": 17, "countspik": 17, "sampleonlastredraw": 17, "maxcount": 17, "elapsedsampl": 17, "elapsedtimem": 17, "greater": [17, 52], "oldest": 17, "newspikecount": 17, "se": [17, 67], "xy": 17, "updateplotrang": 17, "xmin": 17, "xmax": 17, "ymin": 17, "ymax": 17, "push_back": 17, "lightyellow": 17, "reflect": [17, 71], "measur": [18, 19, 25, 39, 40, 51, 54, 59, 74], "latenc": [18, 22, 58, 76], "hope": 19, "scientist": 19, "experiment": [19, 47, 57], "techniqu": 19, "expertis": 19, "bui": [19, 22], "neuroscientist": [19, 21, 81, 84], "why": [19, 80], "did": [19, 50, 77], "progress": 19, "hinder": 19, "mutual": 19, "incompat": [19, 58], "analogi": 19, "imagin": 19, "lab": [19, 22, 46, 78, 83], "had": [19, 37, 70, 80, 82], "dissemin": 19, "articl": [19, 29, 64], "chosen": 19, "clearli": 19, "fragment": 19, "spread": 19, "less": [19, 21, 36, 80], "freeli": [19, 68, 72], "inacess": 19, "unless": [19, 20, 53, 69, 70, 76, 80, 81], "decid": 19, "profit": 19, "sell": 19, "think": [19, 83], "perfect": 19, "problem": [19, 34, 50], "appeal": 19, "stand": 19, "employe": 19, "cooper": 19, "josh": [19, 24, 25, 26, 27, 28, 30, 31, 33, 36, 39, 40, 42, 45, 48, 49, 50, 51, 52, 53, 55, 56, 57, 59, 60, 61, 62, 66, 67, 68, 69, 70, 77, 78, 79], "jakob": [19, 40], "voigt": [19, 40], "jon": [19, 34], "newman": [19, 34], "promot": 19, "electrophysiologi": [19, 20, 21, 22, 83, 84], "websit": 19, "sold": 19, "brand": 19, "partnership": 19, "product": 19, "site": [19, 21, 24, 25, 33, 51, 74, 84], "portug": 19, "price": [19, 47], "purchas": [19, 24, 25, 50, 51, 63], "monei": 19, "invest": 19, "wider": 19, "ecosystem": 19, "began": [19, 21], "wilson": 19, "mit": 19, "2010": 19, "aar\u00f3n": [19, 24, 25, 38, 39, 45, 48, 51, 59, 60, 61, 70, 77, 78, 79], "cueva": [19, 24, 25, 38, 39, 45, 48, 51, 59, 60, 61, 70, 77, 78, 79], "l\u00f3pez": [19, 24, 25, 38, 39, 45, 48, 51, 59, 60, 61, 70, 77, 78, 79], "hire": 19, "person": 19, "revenu": 19, "extracellular": [19, 20, 21, 83, 84], "met": 19, "criteria": [19, 57], "degre": [19, 55], "isn": [19, 72], "stai": [19, 21, 64], "ahead": 19, "curv": 19, "peer": 19, "underneath": 19, "quickli": [19, 22, 34, 38, 80], "realiz": 19, "foster": 19, "engag": 19, "convinc": 19, "entir": [19, 38, 41, 68, 76, 82], "upgrad": [19, 22, 82], "innov": 19, "microsoft": 19, "net": [19, 34], "framework": [19, 65], "languag": [19, 75], "lack": 19, "highli": 19, "suit": [19, 50], "facilit": [19, 80], "manipul": 19, "paper": 19, "shouldn": [19, 65], "form": [19, 58, 71], "opinion": 19, "impact": 19, "noth": 19, "dictat": 19, "advanc": [19, 74], "stabil": 19, "reliabl": 19, "countless": 19, "terabyt": 19, "qualiti": [19, 64], "surpris": 19, "encount": 19, "grew": 19, "frustrat": 19, "eleg": 19, "domain": 19, "music": 19, "fun": 19, "didn": 19, "daili": 19, "sword": 19, "big": 19, "incredibli": 19, "intuit": 19, "possibli": 19, "fortun": 19, "everyth": [19, 21, 72, 76], "ask": [19, 23, 50, 58], "backend": [19, 35], "remark": 19, "bandwidth": [19, 34], "audibl": 19, "sound": [19, 21, 25, 27, 51, 59, 72, 74, 82], "sens": 19, "midibuff": 19, "hack": 19, "who": 19, "environ": [19, 72], "report": [19, 50], "citat": 19, "great": [20, 80], "mix": 20, "freedom": 20, "daunt": 20, "opportun": 20, "acq": [20, 25, 82], "simul": 20, "implant": [20, 38, 50, 64], "mous": [20, 38, 53, 62], "somatosensori": 20, "cortex": [20, 38], "f": [20, 34, 50], "datafil": [20, 38], "scroll": [20, 40, 50], "ellipsi": [20, 62], "confirm": [20, 30, 50, 81], "again": [20, 25, 34, 39, 51, 58, 59, 64, 74], "potenti": [20, 23, 38, 47, 50, 70, 73], "lift": 20, "simplifi": 20, "creation": 20, "pair": [20, 41, 46, 50, 69], "expos": [20, 40, 58], "deselect": [20, 28, 37, 50, 62], "though": [20, 25, 39, 51], "hear": [20, 27], "volum": [20, 21, 27, 62], "slider": [20, 21, 27, 31, 38, 73], "speaker": [20, 25, 27, 39, 51, 59], "mute": [20, 27], "conflict": [20, 50, 58, 75], "tradit": 21, "conceptu": 21, "ableton": 21, "pathwai": 21, "dynam": [21, 32], "hopefulli": [21, 71], "comprehend": 21, "pain": 21, "enjoy": [21, 84], "roughli": 21, "rare": [21, 50], "labview": 21, "scrap": 21, "synonym": 21, "technic": 21, "known": [21, 47], "toggl": [21, 25, 38, 39, 40, 47, 50, 51, 52, 59, 72, 74, 80], "auxiliari": [21, 22, 25, 36, 39, 47, 51, 59, 64], "cluster": [21, 69, 77], "togeth": [21, 30, 37, 45, 61, 70, 82], "notif": 21, "ey": 21, "gate": [21, 26, 27, 55], "suppress": [21, 27], "nois": [21, 27, 32, 33, 49, 50, 64], "driven": 21, "jump": [21, 32], "lot": [21, 22], "smooth": [21, 46, 47, 66], "chunk": [21, 66], "averag": [21, 33, 40, 53, 66, 73, 76, 80, 82], "present": [21, 73, 81], "hasn": [21, 46], "elaps": [21, 50], "Or": 21, "37497": [21, 53, 56, 81, 82], "undo": 21, "redo": 21, "past": [21, 50], "hide": 21, "restor": [21, 73], "offscreen": 21, "browser": [21, 56, 81], "inter": 21, "solv": 21, "vari": [21, 32, 50, 64], "bundl": [21, 23], "whole": [21, 28], "spot": [21, 33], "wrong": [21, 23], "exit": [21, 80], "sucessfulli": 21, "overwritten": [21, 46, 58, 80], "usernam": [21, 58], "programdata": [21, 50], "demo": 22, "guidelin": 22, "nvme": 22, "128": [22, 50, 62, 67, 73], "ssd": [22, 62], "produc": [22, 50, 82], "contin": 22, "cost": 22, "512": [22, 59, 63], "tungsten": 22, "ghz": 22, "faster": [22, 24, 49, 60, 82], "multithread": 22, "gb": 22, "32": [22, 25, 39, 51, 59, 71], "storag": [22, 50], "gpu": 22, "reward": 22, "maze": 22, "commut": 22, "light": [22, 50], "optogenet": 22, "starter": 22, "kit": 22, "intan": [22, 25, 51, 63, 74, 76, 82, 83], "technologi": [22, 74], "shuttledr": 22, "hundr": 22, "thousand": 22, "neuron": 22, "imec": [22, 50], "nhp": [22, 50], "slack": 22, "sign": [22, 34], "11": [22, 28, 29], "intel": 22, "optimum": 22, "driver": [22, 23, 47, 50], "amd": 22, "mb": 22, "nvidia": 22, "cuda": 22, "gtx": 22, "1660": 22, "motherboard": [22, 47, 50], "x8": 22, "x16": 22, "slot": [22, 23, 25, 39, 47, 50, 51, 59, 81], "summar": 22, "chassi": [22, 47, 50], "far": [22, 47], "pxie": [22, 37, 50], "1071": [22, 50], "1082": [22, 50], "1083": [22, 50], "adlink": [22, 50], "pxe": [22, 50], "2301": [22, 50], "8381": [22, 50], "8398": [22, 50], "8382": [22, 50], "mxi": [22, 50], "express": [22, 50], "thunderbolt": [22, 50], "suffici": [22, 29, 50], "mostli": 23, "execut": [23, 50], "ex": 23, "openephysgui": 23, "unzip": 23, "frontpanelusb": 23, "driveronli": 23, "redistribut": 23, "deb": 23, "password": 23, "usr": 23, "uninstal": 23, "dpkg": 23, "scienc": 23, "overlai": [23, 40, 53], "eselect": 23, "emerg": 23, "noreplac": 23, "verbos": 23, "l": [23, 25, 51, 59, 74], "depclean": 23, "sci": 23, "biologi": 23, "inconveni": [23, 80], "goe": [23, 25, 39, 40, 51, 59, 74], "brave": 23, "9999": 23, "99999999": 23, "stabl": 23, "secur": 23, "preferer": 23, "privaci": 23, "anywai": [23, 34], "depth": [24, 25, 34, 40, 51, 56, 62, 74], "octob": [24, 25, 51], "oe": [24, 25, 58, 63, 74, 76], "ctrl": [24, 25, 29, 32, 33, 34, 35, 37, 39, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 52, 53, 54, 56, 57, 58, 59, 60, 64, 65, 66, 67, 68, 71, 72, 73, 75, 76], "ttl_out": [24, 25, 32, 55, 59, 60], "temporarili": [24, 25, 59, 60], "trigger_lin": [24, 25, 59, 60], "durat": [24, 25, 29, 46, 50, 52, 59, 60, 72, 80], "event_dur": [24, 25, 59, 60], "presenc": [24, 25, 59, 60], "dedic": [24, 50, 58, 60, 82], "a1": [25, 39, 51, 59], "a2": [25, 39, 51, 59], "b1": [25, 39, 51, 59], "b2": [25, 39, 51, 59], "row": [25, 39, 45, 51, 53, 59, 62, 67, 73, 74], "omnet": [25, 39, 50, 51, 59], "column": [25, 39, 51, 59, 67, 73, 74], "dual": [25, 39, 51, 59], "chip": [25, 39, 51, 59, 74], "rescan": [25, 39, 51, 59, 74], "acceleromet": [25, 39, 51, 59, 64], "grai": [25, 34, 36, 39, 47, 50, 51, 59, 62, 74, 80], "discard": [25, 39, 51, 59, 74], "preview": [25, 39, 51, 59, 74], "jack": [25, 39, 51, 59, 74], "mirror": [25, 39, 51, 59, 74], "ch1": [25, 51, 59, 74, 79, 80], "ch2": [25, 51, 59, 74, 80], "r": [25, 29, 51, 56, 59, 65, 74, 81], "leftmost": [25, 51], "reveal": [25, 39, 51, 59, 74], "pop": [25, 27, 39, 41, 51, 59, 74, 76], "selector": [25, 26, 27, 28, 30, 31, 34, 39, 50, 51, 59, 67, 71, 74, 76, 80, 82], "particularli": [25, 39, 47, 51, 54, 59, 74], "downsampl": [25, 39, 51], "n": [25, 30, 39, 51, 58, 64, 77, 78], "enforc": [25, 39, 51], "dc": [25, 39, 40, 51, 59, 74], "cutoff": [25, 39, 51, 59, 74], "closest": [25, 39, 51, 59, 74], "untest": [25, 39, 51, 59, 74], "ti": [25, 30, 39, 51, 59, 74], "evalu": [25, 39, 51, 59, 63, 74], "fast": [25, 39, 51, 59, 66, 74], "amplifi": [25, 39, 50, 51, 59, 74], "ye": [26, 27, 28, 30, 31, 33, 36, 38, 40, 45, 53, 55, 61, 62, 67, 68, 69, 70, 77, 79], "leonardo": 26, "teensi": 26, "modem": 26, "output_pin": 26, "mimic": 26, "input_lin": 26, "gate_lin": [26, 55], "anjal": [27, 40, 52, 56, 58, 66, 75], "doshi": [27, 40, 52, 56, 58, 66, 75], "upsampl": 27, "middl": [27, 82], "mon": [27, 69], "whichev": 27, "low_cut": [28, 54, 81], "high_cut": [28, 54, 81], "000": 28, "subset": [28, 34, 38, 50, 56, 80], "deactiv": [28, 50, 57], "obtain": [28, 50], "toolbox": 28, "butter": 28, "lowcut": 28, "highcut": 28, "2x": 28, "forward": [28, 52], "numpi": [28, 50, 58, 80], "scipi": 28, "btype": 28, "unfilt": [28, 54, 67], "bypass": 28, "conserv": 28, "ap": [28, 47, 50, 67], "rodrigo": 29, "amaducci": 29, "andrea": 29, "nava": 29, "oliv": 29, "doi": [29, 64], "7554": 29, "elif": 29, "77772": 29, "model": [29, 47, 54, 74], "dialog": 29, "pb": 29, "timeout": [29, 65], "calibr": [29, 64], "probabl": 29, "deviat": [29, 37, 40, 64, 67], "domin": 29, "character": [29, 64], "maria": 29, "teresa": 29, "juardo": 29, "parra": 29, "enriqu": 29, "sebastian": 29, "liset": 29, "la": 29, "prida": 29, "deep": 29, "predict": [29, 54, 55], "interpret": [29, 34], "sharp": 29, "wave": [29, 37, 38, 46, 47, 50], "rodent": 29, "hippocampu": 29, "e77772": 29, "cite": [29, 64], "improp": 30, "icon": [30, 53, 56], "programat": 30, "ryan": 31, "malonei": 31, "kiril": 31, "abramov": 31, "referenc": [31, 47, 49], "percentag": 31, "upward": [31, 40, 69], "downward": [31, 40, 69], "illustr": 31, "figur": [31, 50, 58, 72], "accses": 31, "ethan": [32, 44, 54], "blackwood": [32, 44, 54, 58], "mark": [32, 54, 66, 81], "schatza": [32, 54, 66], "conjunct": [32, 46], "smoothli": 32, "timeout_m": 32, "fire": [32, 53], "constant": [32, 47, 72], "randomli": [32, 50], "uniform": [32, 72], "spurious": 32, "magnitud": [32, 64], "vote": 32, "span": [32, 38], "t0": 32, "grei": 33, "cividi": 33, "viridi": 33, "rdgy": 33, "rdbu": 33, "snap": 33, "png": 33, "quick": 33, "linear": 33, "length": [33, 34, 38, 53], "dead": 33, "noisi": 33, "car": [33, 73, 76], "littl": [33, 77], "brandon": 34, "park": 34, "latter": 34, "opencv": 34, "mat": 34, "rhd2000evalboard": 34, "isol": [34, 47], "tcpserver": 34, "sendmatoversocket": 34, "address": [34, 43, 52, 56, 72, 81], "put": [34, 56, 62, 81, 82], "localhost": [34, 35, 53, 56, 81], "quot": [34, 79], "9001": 34, "pictur": 34, "dsp": 34, "transform": [34, 47, 54, 55, 66], "32768": [34, 79], "disconnect": [34, 50], "forc": 34, "loss": [34, 40], "suspect": 34, "whatev": [34, 43], "byte": [34, 75, 79], "22": 34, "int32": 34, "num_byt": 34, "num_sampl": [34, 58, 75], "element_s": 34, "enumer": 34, "u8": 34, "s8": 34, "u16": 34, "s16": 34, "s32": 34, "f32": 34, "f64": 34, "unsign": [34, 71, 77, 79], "pai": [34, 58], "attent": [34, 58], "interleav": 34, "data_scal": 34, "data_offset": 34, "sample_r": [34, 35, 58, 75, 81], "christoph": [35, 48, 71], "stawarz": [35, 48], "regardless": [35, 50, 69, 80], "payload": 35, "event_typ": 35, "stream_nam": 35, "source_nod": [35, 58, 75], "193722": 35, "sorted_id": [35, 58, 75], "amp1": 35, "amp2": 35, "amp3": 35, "amp4": 35, "101": [35, 57, 81], "channel_nam": 35, "103922": 35, "serial": [35, 37, 50], "url": [35, 48, 81, 82], "tcp": 35, "5557": [35, 75], "context": 35, "eventtyp": 35, "setsockopt": 35, "def": 35, "recv_multipart": 35, "event_info": 35, "decod": 35, "utf": 35, "slow": [36, 53], "exact": 36, "realign": 36, "marin": 37, "chaput": 37, "3335": 37, "unlik": [37, 58, 71], "flatbuff": 37, "imag": [37, 54, 56, 58, 64, 72, 75], "slight": 37, "salin": [37, 50], "bath": 37, "sine": [37, 38, 50], "openephyszmq": 37, "serialoutput": 37, "roundtrip": 37, "characterist": 37, "median": [37, 49, 67], "9": [37, 46, 50, 66], "suitabl": [37, 50], "pavel": [38, 43, 47, 50, 62, 78], "kulik": [38, 43, 47, 50, 62, 78], "drawer": [38, 55], "timelin": 38, "playback": 38, "anywher": [38, 40, 42, 50, 61, 70, 80], "forth": 38, "NOT": [38, 59], "barrel": 38, "awak": 38, "data_stream_16ch_cortex": 38, "ca1": 38, "amplitud": [38, 40, 47, 50, 56, 64, 67, 69], "data_stream_sine_wav": 38, "chirps_16_channels_at40khz": 38, "sweep": 38, "k": [40, 56], "michael": [40, 56], "fox": [40, 56], "daniel": 40, "wagenaar": 40, "preserv": 40, "trace": [40, 71], "raster": [40, 53], "timebas": 40, "dropdown": [40, 62], "chan": 40, "height": [40, 53, 72], "alloc": 40, "extent": 40, "250": [40, 64, 66, 69], "\u00b5v": 40, "aux": 40, "overlaid": [40, 53], "transluc": 40, "3x": 40, "closer": 40, "adjac": 40, "triangl": 40, "clip": 40, "warn": [40, 50], "sat": 40, "diagon": 40, "revers": 40, "opposit": [40, 61], "numer": [40, 50, 69], "highest": [40, 47], "skip": 40, "nth": 40, "dens": 40, "contact": 40, "invert": [40, 47, 69], "convent": 40, "subtract": [40, 46, 49, 50, 73, 79], "mutipl": 40, "trial": [40, 53], "uv": [40, 67], "cursor": 40, "peak": [40, 54, 67, 69, 75, 77, 78], "trough": [40, 54], "stretch": 40, "florin": 41, "chadwick": 41, "boulai": 41, "marker": [41, 79, 80], "irregular": [41, 71], "marker_1": 41, "marker_2": 41, "statist": 42, "hover": [42, 62, 80], "socket": [43, 75, 76], "ip": [43, 52, 56, 81], "leverag": 43, "encapsul": 43, "classdef": 43, "myclass": 43, "self": [43, 58, 77, 78, 80], "protect": 43, "numsampl": 43, "datain": 43, "numsamplesfetch": 43, "inact": [44, 50], "exclud": 44, "popup": [45, 50, 55, 67, 71, 72], "michel": [46, 57], "fogerson": [46, 57], "thought": 46, "huguenard": 46, "stanford": 46, "absenc": [46, 50], "mice": 46, "spectral": [46, 56], "sorokin": 46, "2016": 46, "18": 46, "alpha": 46, "fewer": [46, 49], "2090a": 47, "2110": 47, "19": [47, 64], "3643": 47, "24": 47, "1239": 47, "715": 47, "746": 47, "220": 47, "ghost": 47, "99": 47, "swap": [47, 50, 76], "act": 47, "rse": 47, "nrse": 47, "non": [47, 69, 71, 80], "diff": 47, "differenti": 47, "pdif": 47, "infer": 47, "electron": 47, "inconsist": 47, "constantli": 47, "univers": [47, 75], "largest": 47, "treat": 47, "shift": [47, 50, 67], "barcod": 47, "tempor": [47, 49], "pattern": [47, 62], "aligned_timestamp": 47, "original_timestamp": 47, "subprocessor": [47, 80, 82], "5khz": 47, "upon": [47, 81], "third": [47, 76], "fifth": 47, "descend": [47, 76], "squar": [47, 53, 56], "furthermor": 47, "talk": 47, "10hz": 47, "interfer": 47, "expens": 47, "swing": 47, "11v": 47, "nonetheless": 47, "cheap": 47, "er": 47, "microcontrol": 47, "boost": 47, "12v": 47, "pc": [47, 68], "exhibit": 47, "overshoot": 47, "steep": 47, "significantli": [47, 67], "achiev": [47, 79], "clean": 47, "shai": [48, 68], "ohayon": [48, 68], "arn": [48, 57, 73], "meyer": [48, 73], "aquisit": 48, "record_node_id": 48, "createnewdir": 48, "recdir": 48, "recording_directory_path": 48, "prependtext": 48, "some_text": 48, "appendtext": 48, "isacquir": 48, "isrecord": 48, "getrecordingpath": 48, "getrecordingnumb": 48, "getexperimentnumb": 48, "matlab_zeromq_wrapper_exampl": 48, "mex": 48, "startconnectthread": 48, "record_control_example_cli": 48, "py": [48, 75, 77, 79], "unchang": [49, 55, 61, 73, 76], "mask": [49, 81], "air": 49, "conduct": 49, "sensit": 49, "outlier": [49, 67], "spikeinterfac": [49, 50], "multiplex": 49, "concurr": 49, "384": [49, 50, 56, 67], "spec": 50, "twist": 50, "prototyp": 50, "mm": [50, 79, 80], "45": 50, "passiv": [50, 71], "bs137": 50, "bsc176": 50, "bs169": 50, "uhd": 50, "switchabl": 50, "ultradens": 50, "beta": 50, "wideband": [50, 54], "bsc189": 50, "opto": 50, "spikeglx": 50, "enclustra": 50, "neuropix": [50, 56], "ultra": 50, "circl": [50, 72], "attach": 50, "explan": 50, "seat": 50, "zif": 50, "disappear": 50, "probe_serial_numb": 50, "_adccalibr": 50, "_gaincalvalu": 50, "calibrationinfo": 50, "hidden": 50, "uncalibr": 50, "shank": [50, 56], "bank": 50, "mini": 50, "50x": 50, "3000x": 50, "500x": 50, "250x": 50, "300": [50, 81], "pad": 50, "flex": 50, "immers": 50, "acut": 50, "screw": 50, "embed": [50, 58], "skull": 50, "chronic": 50, "tip": [50, 81], "leakag": 50, "imped": 50, "manifest": 50, "satur": 50, "sudden": 50, "Such": [50, 80], "area": 50, "TO": 50, "tabl": [50, 67], "caveat": 50, "conform": 50, "awar": 50, "probea": [50, 56], "probeb": 50, "probec": 50, "dock": [50, 81], "porb": 50, "subject": [50, 72], "inadvert": 50, "29999": 50, "385th": 50, "catgt": 50, "pinpoint": 50, "li": 50, "diagnos": 50, "fail": [50, 58, 67, 75], "toler": 50, "psb": 50, "bu": 50, "eeprom": 50, "bsc": 50, "i2c": 50, "serd": 50, "heartbeat": 50, "broken": 50, "outcom": 50, "unsur": 50, "bases": 50, "0169": 50, "2189": 50, "qbsc": 50, "patient": [50, 54, 66], "ext": 50, "gainval": 50, "processor_id": [50, 81, 82], "106": 50, "385": 50, "electrode_str": 50, "join": 50, "astyp": 50, "str": [50, 58], "767": 50, "oni": 51, "opal": [51, 63], "kelli": [51, 63], "gon\u00e7alo": 52, "lope": [52, 64], "endpoint": [52, 53, 56, 81], "slash": 52, "stim": 52, "createudpcli": 52, "sendmessag": 52, "clayton": 53, "barn": 53, "recalcul": [53, 64], "num": [53, 58, 75], "col": 53, "condition_index": 53, "trigger_typ": 53, "102": [53, 81], "splitter": [54, 76], "algorithm": [54, 55], "somewhat": [54, 80], "intens": 54, "freq": [54, 56], "hilbert": [54, 55], "delta": 54, "ar_refresh": 54, "ar_ord": 54, "autoregress": 54, "burg": 54, "tthe": 54, "simplest": 55, "accuraci": 55, "best": [55, 56, 67, 80], "narrow": 55, "preced": 55, "overlap": [55, 67, 80], "circular": [56, 72], "root": [56, 80], "anatom": 56, "web": [56, 81], "scene": 56, "escap": 56, "checkbox": [56, 65, 76], "standalon": 56, "probe_nam": 56, "start1": 56, "end1": 56, "region_id_1": 56, "hex_color_1": 56, "start2": 56, "end2": 56, "abbrevi": 56, "visp": 56, "charact": 56, "hex": 56, "69": 56, "pt": 56, "ff909f": 56, "97": 56, "pvt": 56, "161": 56, "000000": 56, "162": 56, "173": 56, "174": 56, "185": 56, "sf": 56, "90cbed": 56, "960": 56, "alessio": [57, 72], "buccino": [57, 72], "mikkel": 57, "lepperod": 57, "svenn": 57, "dragli": 57, "v1": 57, "v2": 57, "condit": 57, "example_data": [57, 81], "ttl1": 57, "wiki": [57, 72, 76, 84], "spencer": 58, "conda": 58, "miniconda": 58, "anaconda": 58, "x86_64": 58, "pip": 58, "investig": 58, "got": 58, "miniconda3": 58, "userhom": 58, "anaconda3": 58, "incorrect": 58, "relaunch": 58, "pyprocessor": 58, "__init__": 58, "ndarrari": 58, "num_channl": 58, "start_acquisit": 58, "stop_acquisit": 58, "start_record": 58, "recording_dir": 58, "suppos": 58, "stop_record": 58, "handle_ttl_ev": 58, "handle_spik": 58, "electrode_nam": 58, "spike_data": 58, "introduc": [58, 82], "oe_pyprocessor": 58, "add_python_ev": 58, "invok": 58, "butterworth": [58, 66], "overrun": 62, "estim": [62, 80], "hdf5": [62, 78, 80], "syntax": 62, "recov": [62, 67, 80], "parent_directori": [62, 81], "nwb2": 62, "stream_index": 62, "rhd": [63, 74, 76, 82, 83], "rec": [63, 76], "bruno": 64, "monteiro": 64, "sousa": 64, "1088": 64, "1741": 64, "2552": 64, "ac857b": 64, "rm": 64, "thresh": 64, "refr": 64, "refractori": 64, "mov": 64, "movement": 64, "mechan": 64, "blockag": 64, "silenc": 64, "acc": 64, "acceler": 64, "emg": 64, "st": [64, 67], "steadi": 64, "immobl": 64, "divid": [64, 77, 79], "rms_sampl": 64, "amplitudethreshold": 64, "ripple_std": 64, "time_thresh": 64, "refr_tim": 64, "mov_detect": 64, "rais": 64, "eliezy": 64, "fermino": 64, "oliveira": 64, "ikaro": 64, "jesu": 64, "da": 64, "silva": 64, "beraldo": 64, "rafaela": 64, "schuttenberg": 64, "polanczyk": 64, "jo\u00e3o": 64, "pereira": 64, "leit": 64, "cleiton": 64, "aguiar": 64, "046040": 64, "paul": 65, "botro": 65, "unidirect": 65, "eventu": 65, "streamread": 65, "redisconnect": 65, "6379": 65, "purpl": 65, "407": 65, "10000": 65, "new_buff": 65, "num_read": 65, "subsystem": 65, "wsl": 65, "deter": 65, "schema": 65, "sumedh": 66, "nagral": 66, "legend": 66, "spectogram": 66, "100hz": 66, "500hz": 66, "1000hz": 66, "fourier": 66, "ham": 66, "fft": 66, "1d": 66, "spectra": 66, "carefulli": 67, "combo": 67, "tt": 67, "intervent": 67, "med": 67, "quian": 67, "quiroga": 67, "sortedid": [68, 69], "princip": 68, "polygon": 68, "sorter": [69, 76, 82], "comparison": 69, "lock": 69, "decreas": 69, "classic": 70, "signific": [70, 77], "reconnect": 70, "thoma": 71, "transitor": 71, "nomenclatur": 71, "interchang": 71, "distinct": 71, "cinpla": 72, "outfit": 72, "head": 72, "osc": [72, 76], "vision": 72, "webcam": 72, "spinnak": 72, "pylon": 72, "vimba": 72, "cameracaptur": 72, "csvwriter": 72, "oppos": 72, "train": 72, "gaussian": 72, "fmax": 72, "border": [72, 80], "sd": 72, "x_posit": 72, "y_posit": 72, "radiu": 72, "inactiv": 72, "reactiv": 72, "virtualreferenceplugin": 73, "matrix": 73, "equival": 73, "preset": 73, "stone": 74, "lin": 74, "ONE": [74, 83], "manufactur": 74, "x6r128": 74, "francesco": 75, "battaglia": 75, "andr\u00e1": 75, "sz\u00e9ll": 75, "client": 75, "seri": 75, "5556": 75, "uuid": 75, "message_num": 75, "channel_num": 75, "1byte": 75, "python_cli": 75, "simple_plotter_zmq": 75, "heart": [76, 83], "rapidli": 76, "ever": 76, "incorpor": 76, "parti": 76, "migrat": [76, 84], "lsl": 76, "inlet": 76, "cnn": 76, "snapshot": 76, "falcon": 76, "histogram": 76, "river": 76, "miscellan": 76, "speicfi": 76, "internet": 76, "alphabet": 76, "ascend": 76, "z": 76, "impli": 76, "regard": 76, "owner": 76, "fetch": 76, "sai": 76, "newer": 76, "newest": 76, "older": 76, "endian": 77, "ch1_samp1": 77, "ch2_samp1": 77, "chn_samp1": 77, "ch1_samp2": 77, "ch2_samp2": 77, "chn_sampm": 77, "wherea": [77, 82], "synchronized_timestamp": 77, "ch_number": [77, 78], "channel_st": [77, 82], "becam": 77, "full_word": [77, 78], "dimens": [77, 78], "pyopenephi": [77, 79], "datload": 77, "__": [77, 78, 79], "pynwb": [78, 80], "matnwb": 78, "grow": 78, "gracefulli": 78, "irrecover": 78, "file_create_d": 78, "iso": 78, "nwb_version": 78, "session_start_tim": 78, "electricalseri": 78, "channel_convers": 78, "eusual": 78, "timeseri": 78, "spikeeventseri": 78, "truncat": 79, "impos": 79, "8000": 79, "flat": 79, "hierarchi": 79, "underscor": 79, "_2": 79, "distinguish": 79, "header_byt": 79, "date_cr": 79, "dd": 79, "yyyi": [79, 80], "hhmmss": 79, "channeltyp": 79, "blocklength": 79, "buffers": 79, "prefix": 79, "plain": 79, "enclos": 79, "2070": 79, "electrode1": 79, "loadcontinu": 79, "loadev": 79, "loadspik": 79, "lose": 80, "valuabl": 80, "unwant": 80, "ineffici": 80, "bottleneck": 80, "behav": 80, "redirect": 80, "001_": 80, "002_": 80, "dd_hh": 80, "ss": 80, "_001": 80, "_002": 80, "sample1": 80, "ch3": 80, "chn": 80, "corrupt": 80, "longest": 80, "neurodata": 80, "philosophi": 80, "baggag": 80, "inabl": 80, "90": 80, "style": 80, "slice": 80, "exaxmpl": 80, "esc": 80, "raw": 80, "maxim": 80, "accomplish": 80, "troubl": 80, "programm": 80, "phone": [81, 82], "keypad": [81, 82], "webread": 81, "webwrit": 81, "idl": [81, 82], "webopt": 81, "requestmethod": 81, "mediatyp": 81, "base_text": 81, "prepend_text": 81, "append_text": 81, "record_nod": 81, "node_id": 81, "experiment_numb": 81, "recording_numb": 81, "is_synchron": 81, "new_directory_nam": 81, "predecessor": 81, "null": 81, "channel_count": 81, "40000": 81, "source_id": 81, "enable_stream": 81, "6000": 81, "acqboard": 81, "epoch": 81, "shut": 81, "quit": 81, "juli": 82, "biggest": 82, "histori": 82, "old": 82, "acqusit": 82, "exclus": 82, "confus": 82, "irregularli": 82, "regularli": 82, "stricter": 82, "adher": 82, "peopl": 82, "reproduc": 82, "xdaq": 83, "headset": 83, "layer": 83, "topic": [83, 84], "miss": 83, "intro": 84, "tour": 84}, "objects": {"": [[11, 0, 1, "_CPPv4N12CoreServices10RecordNode21setRecordingDirectoryE6Stringib", "CoreServices::RecordNode::setRecordingDirectory"], [11, 1, 1, "_CPPv4N12CoreServices10RecordNode21setRecordingDirectoryE6Stringib", "CoreServices::RecordNode::setRecordingDirectory::applyToAll"], [11, 1, 1, "_CPPv4N12CoreServices10RecordNode21setRecordingDirectoryE6Stringib", "CoreServices::RecordNode::setRecordingDirectory::dir"], [11, 1, 1, "_CPPv4N12CoreServices10RecordNode21setRecordingDirectoryE6Stringib", "CoreServices::RecordNode::setRecordingDirectory::nodeId"], [11, 0, 1, "_CPPv4N12CoreServices29allRecordNodesAreSynchronizedEv", "CoreServices::allRecordNodesAreSynchronized"], [11, 0, 1, "_CPPv4N12CoreServices27createNewRecordingDirectoryEv", "CoreServices::createNewRecordingDirectory"], [11, 0, 1, "_CPPv4N12CoreServices20getAcquisitionStatusEv", "CoreServices::getAcquisitionStatus"], [11, 0, 1, "_CPPv4N12CoreServices25getAvailableRecordNodeIdsEv", "CoreServices::getAvailableRecordNodeIds"], [11, 0, 1, "_CPPv4N12CoreServices27getDefaultUserSaveDirectoryEv", "CoreServices::getDefaultUserSaveDirectory"], [11, 0, 1, "_CPPv4N12CoreServices13getGUIVersionEv", "CoreServices::getGUIVersion"], [11, 0, 1, "_CPPv4N12CoreServices31getRecordingDirectoryAppendTextEv", "CoreServices::getRecordingDirectoryAppendText"], [11, 0, 1, "_CPPv4N12CoreServices25getRecordingDirectoryNameEv", "CoreServices::getRecordingDirectoryName"], [11, 0, 1, "_CPPv4N12CoreServices32getRecordingDirectoryPrependTextEv", "CoreServices::getRecordingDirectoryPrependText"], [11, 0, 1, "_CPPv4N12CoreServices27getRecordingParentDirectoryEv", "CoreServices::getRecordingParentDirectory"], [11, 0, 1, "_CPPv4N12CoreServices18getRecordingStatusEv", "CoreServices::getRecordingStatus"], [11, 0, 1, "_CPPv4N12CoreServices22getSavedStateDirectoryEv", "CoreServices::getSavedStateDirectory"], [11, 0, 1, "_CPPv4N12CoreServices21getSoftwareSampleRateEv", "CoreServices::getSoftwareSampleRate"], [11, 0, 1, "_CPPv4N12CoreServices20getSoftwareTimestampEv", "CoreServices::getSoftwareTimestamp"], [11, 0, 1, "_CPPv4N12CoreServices15highlightEditorEP13GenericEditor", "CoreServices::highlightEditor"], [11, 1, 1, "_CPPv4N12CoreServices15highlightEditorEP13GenericEditor", "CoreServices::highlightEditor::editor"], [11, 0, 1, "_CPPv4N12CoreServices15loadSignalChainE6String", "CoreServices::loadSignalChain"], [11, 1, 1, "_CPPv4N12CoreServices15loadSignalChainE6String", "CoreServices::loadSignalChain::path"], [11, 0, 1, "_CPPv4N12CoreServices18saveRecoveryConfigEv", "CoreServices::saveRecoveryConfig"], [8, 0, 1, "_CPPv4N12CoreServices17sendStatusMessageE6String", "CoreServices::sendStatusMessage"], [11, 0, 1, "_CPPv4N12CoreServices17sendStatusMessageERK6String", "CoreServices::sendStatusMessage"], [8, 1, 1, "_CPPv4N12CoreServices17sendStatusMessageE6String", "CoreServices::sendStatusMessage::message"], [11, 1, 1, "_CPPv4N12CoreServices17sendStatusMessageERK6String", "CoreServices::sendStatusMessage::text"], [11, 0, 1, "_CPPv4N12CoreServices20setAcquisitionStatusEb", "CoreServices::setAcquisitionStatus"], [11, 1, 1, "_CPPv4N12CoreServices20setAcquisitionStatusEb", "CoreServices::setAcquisitionStatus::enable"], [11, 0, 1, "_CPPv4N12CoreServices31setRecordingDirectoryAppendTextE6String", "CoreServices::setRecordingDirectoryAppendText"], [11, 1, 1, "_CPPv4N12CoreServices31setRecordingDirectoryAppendTextE6String", "CoreServices::setRecordingDirectoryAppendText::text"], [11, 0, 1, "_CPPv4N12CoreServices29setRecordingDirectoryBasenameE6String", "CoreServices::setRecordingDirectoryBasename"], [11, 1, 1, "_CPPv4N12CoreServices29setRecordingDirectoryBasenameE6String", "CoreServices::setRecordingDirectoryBasename::dir"], [11, 0, 1, "_CPPv4N12CoreServices32setRecordingDirectoryPrependTextE6String", "CoreServices::setRecordingDirectoryPrependText"], [11, 1, 1, "_CPPv4N12CoreServices32setRecordingDirectoryPrependTextE6String", "CoreServices::setRecordingDirectoryPrependText::text"], [11, 0, 1, "_CPPv4N12CoreServices27setRecordingParentDirectoryE6String", "CoreServices::setRecordingParentDirectory"], [11, 1, 1, "_CPPv4N12CoreServices27setRecordingParentDirectoryE6String", "CoreServices::setRecordingParentDirectory::dir"], [11, 0, 1, "_CPPv4N12CoreServices18setRecordingStatusEb", "CoreServices::setRecordingStatus"], [11, 1, 1, "_CPPv4N12CoreServices18setRecordingStatusEb", "CoreServices::setRecordingStatus::enable"], [11, 0, 1, "_CPPv4N12CoreServices17updateSignalChainEP13GenericEditor", "CoreServices::updateSignalChain"], [11, 1, 1, "_CPPv4N12CoreServices17updateSignalChainEP13GenericEditor", "CoreServices::updateSignalChain::editor"], [9, 0, 1, "_CPPv47NpyFile6String7NpyType", "NpyFile"], [9, 1, 1, "_CPPv47NpyFile6String7NpyType", "NpyFile::path"], [9, 1, 1, "_CPPv47NpyFile6String7NpyType", "NpyFile::type"], [9, 0, 1, "_CPPv419SequentialBlockFilei", "SequentialBlockFile"], [9, 1, 1, "_CPPv419SequentialBlockFilei", "SequentialBlockFile::nChannels"], [10, 0, 1, "_CPPv4N10Visualizer27loadCustomParametersFromXmlEP10XmlElement", "Visualizer::loadCustomParametersFromXml"], [10, 1, 1, "_CPPv4N10Visualizer27loadCustomParametersFromXmlEP10XmlElement", "Visualizer::loadCustomParametersFromXml::xml"], [10, 0, 1, "_CPPv4N10Visualizer25saveCustomParametersToXmlEP10XmlElement", "Visualizer::saveCustomParametersToXml"], [10, 1, 1, "_CPPv4N10Visualizer25saveCustomParametersToXmlEP10XmlElement", "Visualizer::saveCustomParametersToXml::xml"], [10, 0, 1, "_CPPv416VisualizerEditorP16GenericProcessor6Stringi", "VisualizerEditor"], [10, 1, 1, "_CPPv416VisualizerEditorP16GenericProcessor6Stringi", "VisualizerEditor::desiredWidth"], [10, 1, 1, "_CPPv416VisualizerEditorP16GenericProcessor6Stringi", "VisualizerEditor::processor"], [10, 1, 1, "_CPPv416VisualizerEditorP16GenericProcessor6Stringi", "VisualizerEditor::tabText"], [8, 0, 1, "_CPPv415addIntParameterN9Parameter14ParameterScopeERK6StringRK6Stringiii", "addIntParameter"], [8, 1, 1, "_CPPv415addIntParameterN9Parameter14ParameterScopeERK6StringRK6Stringiii", "addIntParameter::defaultValue"], [8, 1, 1, "_CPPv415addIntParameterN9Parameter14ParameterScopeERK6StringRK6Stringiii", "addIntParameter::description"], [8, 1, 1, "_CPPv415addIntParameterN9Parameter14ParameterScopeERK6StringRK6Stringiii", "addIntParameter::maxValue"], [8, 1, 1, "_CPPv415addIntParameterN9Parameter14ParameterScopeERK6StringRK6Stringiii", "addIntParameter::minValue"], [8, 1, 1, "_CPPv415addIntParameterN9Parameter14ParameterScopeERK6StringRK6Stringiii", "addIntParameter::name"], [8, 1, 1, "_CPPv415addIntParameterN9Parameter14ParameterScopeERK6StringRK6Stringiii", "addIntParameter::scope"], [8, 0, 1, "_CPPv413addTTLChannel6String", "addTTLChannel"], [8, 1, 1, "_CPPv413addTTLChannel6String", "addTTLChannel::name"], [8, 0, 1, "_CPPv425addTextBoxParameterEditorRK6Stringii", "addTextBoxParameterEditor"], [8, 1, 1, "_CPPv425addTextBoxParameterEditorRK6Stringii", "addTextBoxParameterEditor::name"], [8, 1, 1, "_CPPv425addTextBoxParameterEditorRK6Stringii", "addTextBoxParameterEditor::xPos"], [8, 1, 1, "_CPPv425addTextBoxParameterEditorRK6Stringii", "addTextBoxParameterEditor::yPos"], [6, 0, 1, "_CPPv416broadcastMessage6String", "broadcastMessage"], [8, 0, 1, "_CPPv416broadcastMessage6String", "broadcastMessage"], [8, 1, 1, "_CPPv416broadcastMessage6String", "broadcastMessage::message"], [6, 1, 1, "_CPPv416broadcastMessage6String", "broadcastMessage::msg"], [8, 0, 1, "_CPPv414checkForEventsb", "checkForEvents"], [8, 1, 1, "_CPPv414checkForEventsb", "checkForEvents::respondToSpikes"], [10, 0, 1, "_CPPv45clearv", "clear"], [9, 0, 1, "_CPPv410closeFilesv", "closeFiles"], [6, 0, 1, "_CPPv412createEditorP10SourceNode", "createEditor"], [6, 1, 1, "_CPPv412createEditorP10SourceNode", "createEditor::sourceNode"], [10, 0, 1, "_CPPv415createNewCanvasv", "createNewCanvas"], [10, 0, 1, "_CPPv47disablev", "disable"], [10, 0, 1, "_CPPv46enablev", "enable"], [7, 0, 1, "_CPPv414fillRecordInfov", "fillRecordInfo"], [8, 0, 1, "_CPPv412flipTTLStateii", "flipTTLState"], [8, 1, 1, "_CPPv412flipTTLStateii", "flipTTLState::lineIndex"], [8, 1, 1, "_CPPv412flipTTLStateii", "flipTTLState::sampleIndex"], [6, 0, 1, "_CPPv416foundInputSourcev", "foundInputSource"], [9, 0, 1, "_CPPv4NK11getEngineIdEv", "getEngineId"], [8, 0, 1, "_CPPv420getNumSamplesInBlock6uint16", "getNumSamplesInBlock"], [8, 1, 1, "_CPPv420getNumSamplesInBlock6uint16", "getNumSamplesInBlock::streamId"], [8, 0, 1, "_CPPv412getParameter6String", "getParameter"], [8, 1, 1, "_CPPv412getParameter6String", "getParameter::name"], [10, 0, 1, "_CPPv48getRangeR7XYRange", "getRange"], [10, 1, 1, "_CPPv48getRangeR7XYRange", "getRange::range"], [8, 0, 1, "_CPPv414getReadPointeri", "getReadPointer"], [8, 1, 1, "_CPPv414getReadPointeri", "getReadPointer::globalChannelIndex"], [8, 0, 1, "_CPPv415getWritePointeri", "getWritePointer"], [8, 1, 1, "_CPPv415getWritePointeri", "getWritePointer::globalChannelIndex"], [6, 0, 1, "_CPPv422handleBroadcastMessage6String", "handleBroadcastMessage"], [8, 0, 1, "_CPPv422handleBroadcastMessage6String", "handleBroadcastMessage"], [8, 1, 1, "_CPPv422handleBroadcastMessage6String", "handleBroadcastMessage::message"], [6, 1, 1, "_CPPv422handleBroadcastMessage6String", "handleBroadcastMessage::msg"], [6, 0, 1, "_CPPv419handleConfigMessage6String", "handleConfigMessage"], [8, 0, 1, "_CPPv419handleConfigMessage6String", "handleConfigMessage"], [8, 1, 1, "_CPPv419handleConfigMessage6String", "handleConfigMessage::message"], [6, 1, 1, "_CPPv419handleConfigMessage6String", "handleConfigMessage::msg"], [8, 0, 1, "_CPPv411handleSpike8SpikePtr", "handleSpike"], [8, 1, 1, "_CPPv411handleSpike8SpikePtr", "handleSpike::event"], [8, 0, 1, "_CPPv414handleTTLEvent11TTLEventPtr", "handleTTLEvent"], [8, 1, 1, "_CPPv414handleTTLEvent11TTLEventPtr", "handleTTLEvent::event"], [9, 0, 1, "_CPPv419increaseRecordCounti", "increaseRecordCount"], [9, 1, 1, "_CPPv419increaseRecordCounti", "increaseRecordCount::count"], [8, 0, 1, "_CPPv427loadCustomParametersFromXmlP10XmlElement", "loadCustomParametersFromXml"], [8, 1, 1, "_CPPv427loadCustomParametersFromXmlP10XmlElement", "loadCustomParametersFromXml::xml"], [10, 0, 1, "_CPPv430loadVisualizerEditorParametersP10XmlElement", "loadVisualizerEditorParameters"], [10, 1, 1, "_CPPv430loadVisualizerEditorParametersP10XmlElement", "loadVisualizerEditorParameters::xml"], [7, 0, 1, "_CPPv44open4File", "open"], [7, 1, 1, "_CPPv44open4File", "open::file"], [9, 0, 1, "_CPPv48openFile6String", "openFile"], [9, 1, 1, "_CPPv48openFile6String", "openFile::filename"], [9, 0, 1, "_CPPv49openFiles4Fileii", "openFiles"], [9, 1, 1, "_CPPv49openFiles4Fileii", "openFiles::experimentNumber"], [9, 1, 1, "_CPPv49openFiles4Fileii", "openFiles::recordingNumber"], [9, 1, 1, "_CPPv49openFiles4Fileii", "openFiles::rootFolder"], [10, 0, 1, "_CPPv45paintR8Graphics", "paint"], [10, 1, 1, "_CPPv45paintR8Graphics", "paint::g"], [8, 0, 1, "_CPPv421parameterValueChangedP9Parameter", "parameterValueChanged"], [8, 1, 1, "_CPPv421parameterValueChangedP9Parameter", "parameterValueChanged::param"], [10, 0, 1, "_CPPv44plotNSt6vectorIfEENSt6vectorIfEE6Colourff8PlotType", "plot"], [10, 1, 1, "_CPPv44plotNSt6vectorIfEENSt6vectorIfEE6Colourff8PlotType", "plot::c"], [10, 1, 1, "_CPPv44plotNSt6vectorIfEENSt6vectorIfEE6Colourff8PlotType", "plot::opacity"], [10, 1, 1, "_CPPv44plotNSt6vectorIfEENSt6vectorIfEE6Colourff8PlotType", "plot::type"], [10, 1, 1, "_CPPv44plotNSt6vectorIfEENSt6vectorIfEE6Colourff8PlotType", "plot::width"], [10, 1, 1, "_CPPv44plotNSt6vectorIfEENSt6vectorIfEE6Colourff8PlotType", "plot::x"], [10, 1, 1, "_CPPv44plotNSt6vectorIfEENSt6vectorIfEE6Colourff8PlotType", "plot::y"], [8, 0, 1, "_CPPv47process11AudioBufferIfE", "process"], [8, 1, 1, "_CPPv47process11AudioBufferIfE", "process::buffer"], [7, 0, 1, "_CPPv418processChannelDataP5int16Pfi5int64", "processChannelData"], [7, 1, 1, "_CPPv418processChannelDataP5int16Pfi5int64", "processChannelData::channel"], [7, 1, 1, "_CPPv418processChannelDataP5int16Pfi5int64", "processChannelData::inputBuffer"], [7, 1, 1, "_CPPv418processChannelDataP5int16Pfi5int64", "processChannelData::nSamples"], [7, 1, 1, "_CPPv418processChannelDataP5int16Pfi5int64", "processChannelData::outputBuffer"], [7, 0, 1, "_CPPv416processEventDataR9EventInfo5int645int64", "processEventData"], [7, 1, 1, "_CPPv416processEventDataR9EventInfo5int645int64", "processEventData::info"], [7, 1, 1, "_CPPv416processEventDataR9EventInfo5int645int64", "processEventData::startSampleNumber"], [7, 1, 1, "_CPPv416processEventDataR9EventInfo5int645int64", "processEventData::stopSampleNumber"], [7, 0, 1, "_CPPv48readDataP5int16i", "readData"], [7, 1, 1, "_CPPv48readDataP5int16i", "readData::buffer"], [7, 1, 1, "_CPPv48readDataP5int16i", "readData::nSamples"], [10, 0, 1, "_CPPv47refreshv", "refresh"], [10, 0, 1, "_CPPv412refreshStatev", "refreshState"], [10, 0, 1, "_CPPv47resizedv", "resized"], [8, 0, 1, "_CPPv425saveCustomParametersToXmlP10XmlElement", "saveCustomParametersToXml"], [8, 1, 1, "_CPPv425saveCustomParametersToXmlP10XmlElement", "saveCustomParametersToXml::xml"], [10, 0, 1, "_CPPv430saveVisualizerEditorParametersP10XmlElement", "saveVisualizerEditorParameters"], [10, 1, 1, "_CPPv430saveVisualizerEditorParametersP10XmlElement", "saveVisualizerEditorParameters::xml"], [7, 0, 1, "_CPPv46seekTo5int64", "seekTo"], [7, 1, 1, "_CPPv46seekTo5int64", "seekTo::sample"], [10, 0, 1, "_CPPv413setAxisColour6Colour", "setAxisColour"], [10, 1, 1, "_CPPv413setAxisColour6Colour", "setAxisColour::c"], [10, 0, 1, "_CPPv419setBackgroundColour6Colour", "setBackgroundColour"], [10, 1, 1, "_CPPv419setBackgroundColour6Colour", "setBackgroundColour::c"], [10, 0, 1, "_CPPv413setGridColour6Colour", "setGridColour"], [10, 1, 1, "_CPPv413setGridColour6Colour", "setGridColour::c"], [10, 0, 1, "_CPPv414setInteractive19InteractivePlotMode", "setInteractive"], [10, 1, 1, "_CPPv414setInteractive19InteractivePlotMode", "setInteractive::mode"], [10, 0, 1, "_CPPv48setRangeR7XYRange", "setRange"], [10, 1, 1, "_CPPv48setRangeR7XYRange", "setRange::range"], [8, 0, 1, "_CPPv411setTTLStateiib", "setTTLState"], [8, 1, 1, "_CPPv411setTTLStateiib", "setTTLState::lineIndex"], [8, 1, 1, "_CPPv411setTTLStateiib", "setTTLState::sampleIndex"], [8, 1, 1, "_CPPv411setTTLStateiib", "setTTLState::state"], [10, 0, 1, "_CPPv44showv", "show"], [10, 0, 1, "_CPPv48showGridb", "showGrid"], [10, 1, 1, "_CPPv48showGridb", "showGrid::state"], [10, 0, 1, "_CPPv49showXAxisb", "showXAxis"], [10, 1, 1, "_CPPv49showXAxisb", "showXAxis::state"], [10, 0, 1, "_CPPv49showYAxisb", "showYAxis"], [10, 1, 1, "_CPPv49showYAxisb", "showYAxis::state"], [6, 0, 1, "_CPPv416startAcquisitionv", "startAcquisition"], [8, 0, 1, "_CPPv416startAcquisitionv", "startAcquisition"], [6, 0, 1, "_CPPv415stopAcquisitionv", "stopAcquisition"], [8, 0, 1, "_CPPv415stopAcquisitionv", "stopAcquisition"], [10, 0, 1, "_CPPv45title6String", "title"], [10, 1, 1, "_CPPv45title6String", "title::t"], [10, 0, 1, "_CPPv46updatev", "update"], [7, 0, 1, "_CPPv418updateActiveRecordi", "updateActiveRecord"], [7, 1, 1, "_CPPv418updateActiveRecordi", "updateActiveRecord::index"], [6, 0, 1, "_CPPv412updateBufferv", "updateBuffer"], [6, 0, 1, "_CPPv414updateSettingsP10OwnedArrayI17ContinuousChannelEP10OwnedArrayI12EventChannelEP10OwnedArrayI12SpikeChannelEP10OwnedArrayI10DataStreamEP10OwnedArrayI10DeviceInfoEP10OwnedArrayI19ConfigurationObjectE", "updateSettings"], [8, 0, 1, "_CPPv414updateSettingsv", "updateSettings"], [6, 1, 1, "_CPPv414updateSettingsP10OwnedArrayI17ContinuousChannelEP10OwnedArrayI12EventChannelEP10OwnedArrayI12SpikeChannelEP10OwnedArrayI10DataStreamEP10OwnedArrayI10DeviceInfoEP10OwnedArrayI19ConfigurationObjectE", "updateSettings::configurationObjects"], [6, 1, 1, "_CPPv414updateSettingsP10OwnedArrayI17ContinuousChannelEP10OwnedArrayI12EventChannelEP10OwnedArrayI12SpikeChannelEP10OwnedArrayI10DataStreamEP10OwnedArrayI10DeviceInfoEP10OwnedArrayI19ConfigurationObjectE", "updateSettings::continuousChannels"], [6, 1, 1, "_CPPv414updateSettingsP10OwnedArrayI17ContinuousChannelEP10OwnedArrayI12EventChannelEP10OwnedArrayI12SpikeChannelEP10OwnedArrayI10DataStreamEP10OwnedArrayI10DeviceInfoEP10OwnedArrayI19ConfigurationObjectE", "updateSettings::devices"], [6, 1, 1, "_CPPv414updateSettingsP10OwnedArrayI17ContinuousChannelEP10OwnedArrayI12EventChannelEP10OwnedArrayI12SpikeChannelEP10OwnedArrayI10DataStreamEP10OwnedArrayI10DeviceInfoEP10OwnedArrayI19ConfigurationObjectE", "updateSettings::eventChannels"], [6, 1, 1, "_CPPv414updateSettingsP10OwnedArrayI17ContinuousChannelEP10OwnedArrayI12EventChannelEP10OwnedArrayI12SpikeChannelEP10OwnedArrayI10DataStreamEP10OwnedArrayI10DeviceInfoEP10OwnedArrayI19ConfigurationObjectE", "updateSettings::sourceStreams"], [6, 1, 1, "_CPPv414updateSettingsP10OwnedArrayI17ContinuousChannelEP10OwnedArrayI12EventChannelEP10OwnedArrayI12SpikeChannelEP10OwnedArrayI10DataStreamEP10OwnedArrayI10DeviceInfoEP10OwnedArrayI19ConfigurationObjectE", "updateSettings::spikeChannels"], [9, 0, 1, "_CPPv412writeChannel6uint64iP5int16i", "writeChannel"], [9, 1, 1, "_CPPv412writeChannel6uint64iP5int16i", "writeChannel::channel"], [9, 1, 1, "_CPPv412writeChannel6uint64iP5int16i", "writeChannel::data"], [9, 1, 1, "_CPPv412writeChannel6uint64iP5int16i", "writeChannel::nSamples"], [9, 1, 1, "_CPPv412writeChannel6uint64iP5int16i", "writeChannel::startPos"], [9, 0, 1, "_CPPv419writeContinuousDataiiPKfPKdi", "writeContinuousData"], [9, 1, 1, "_CPPv419writeContinuousDataiiPKfPKdi", "writeContinuousData::dataBuffer"], [9, 1, 1, "_CPPv419writeContinuousDataiiPKfPKdi", "writeContinuousData::realChannel"], [9, 1, 1, "_CPPv419writeContinuousDataiiPKfPKdi", "writeContinuousData::size"], [9, 1, 1, "_CPPv419writeContinuousDataiiPKfPKdi", "writeContinuousData::timestampBuffer"], [9, 1, 1, "_CPPv419writeContinuousDataiiPKfPKdi", "writeContinuousData::writeChannel"], [9, 0, 1, "_CPPv49writeDataPKv6size_t", "writeData"], [9, 1, 1, "_CPPv49writeDataPKv6size_t", "writeData::data"], [9, 1, 1, "_CPPv49writeDataPKv6size_t", "writeData::nSamples"], [9, 0, 1, "_CPPv410writeEventiRK11EventPacket", "writeEvent"], [9, 1, 1, "_CPPv410writeEventiRK11EventPacket", "writeEvent::event"], [9, 1, 1, "_CPPv410writeEventiRK11EventPacket", "writeEvent::eventChannel"], [9, 0, 1, "_CPPv410writeSpikeiPK5Spike", "writeSpike"], [9, 1, 1, "_CPPv410writeSpikeiPK5Spike", "writeSpike::electrodeIndex"], [9, 1, 1, "_CPPv410writeSpikeiPK5Spike", "writeSpike::spike"], [9, 0, 1, "_CPPv422writeTimestampSyncText6uint165int64f6String", "writeTimestampSyncText"], [9, 1, 1, "_CPPv422writeTimestampSyncText6uint165int64f6String", "writeTimestampSyncText::sampleNumber"], [9, 1, 1, "_CPPv422writeTimestampSyncText6uint165int64f6String", "writeTimestampSyncText::sourceSampleRate"], [9, 1, 1, "_CPPv422writeTimestampSyncText6uint165int64f6String", "writeTimestampSyncText::streamId"], [9, 1, 1, "_CPPv422writeTimestampSyncText6uint165int64f6String", "writeTimestampSyncText::text"], [10, 0, 1, "_CPPv46xlabel6String", "xlabel"], [10, 1, 1, "_CPPv46xlabel6String", "xlabel::label"], [10, 0, 1, "_CPPv46ylabel6String", "ylabel"], [10, 1, 1, "_CPPv46ylabel6String", "ylabel::label"], [58, 2, 1, "init__", "__init__"], [58, 2, 1, "", "add_python_event"], [58, 2, 1, "", "handle_spike"], [58, 2, 1, "", "handle_ttl_event"], [58, 2, 1, "", "process"], [58, 2, 1, "", "start_acquisition"], [58, 2, 1, "", "start_recording"], [58, 2, 1, "", "stop_acquisition"], [58, 2, 1, "", "stop_recording"]]}, "objtypes": {"0": "cpp:function", "1": "cpp:functionParam", "2": "py:method"}, "objnames": {"0": ["cpp", "function", "C++ function"], "1": ["cpp", "functionParam", "C++ function parameter"], "2": ["py", "method", "Python method"]}, "titleterms": {"common": [0, 31, 34, 49], "extern": [0, 3, 4, 6, 35, 61, 75], "librari": [0, 3, 41, 75], "modifi": [0, 5, 58], "sourc": [0, 1, 3, 7, 20, 57, 72, 76, 79], "code": [0, 1, 3, 5, 48, 58, 75], "us": [0, 25, 28, 29, 30, 31, 34, 35, 39, 41, 44, 46, 47, 49, 51, 54, 58, 59, 61, 68, 75, 77, 79, 80], "plugin": [0, 1, 3, 4, 8, 10, 11, 12, 16, 17, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 78, 82], "header": [0, 34, 75, 79], "onli": [0, 23, 32], "class": [0, 5], "share": [0, 31], "dynam": 0, "compil": [1, 2, 3, 16, 17], "obtain": 1, "window": [1, 2, 21, 23, 53], "maco": [1, 2, 21, 23], "linux": [1, 2, 21, 23], "gui": [2, 8, 19, 23, 26, 34, 35, 43, 52, 77, 78, 79, 81], "creat": [3, 8, 16, 17, 31, 33, 37, 53, 58, 65, 67, 70, 72, 75], "new": [3, 12, 16, 17, 65, 82], "repositori": [3, 4, 16, 17], "edit": [3, 16, 17, 21], "openephyslib": [3, 16, 17], "cpp": [3, 16, 17], "processor": [3, 5, 8, 10, 16, 17, 21, 58, 67, 76, 81], "visual": [3, 10, 17, 20, 34, 40, 54, 56, 66, 75], "data": [3, 6, 7, 8, 9, 10, 15, 20, 25, 27, 28, 30, 31, 33, 34, 35, 36, 38, 39, 40, 41, 43, 47, 50, 51, 55, 58, 61, 62, 65, 67, 70, 71, 74, 75, 77, 78, 79, 80], "thread": [3, 6], "record": [3, 7, 9, 15, 20, 21, 59, 60, 61, 62, 76, 79, 80, 81], "engin": [3, 9, 76], "file": [3, 7, 9, 16, 17, 21, 23, 38, 50, 76, 77, 78, 79, 82], "ad": [3, 16, 17, 20], "includ": [3, 10], "your": [3, 16, 17, 26, 47], "distribut": 4, "set": [4, 8, 10, 14, 16, 17, 32, 50, 57, 58, 62, 72, 81, 82], "up": [4, 16, 17, 40, 58, 59, 66, 72], "artifactori": 4, "properti": 4, "configur": [4, 14, 15, 20, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 39, 40, 41, 42, 43, 44, 45, 46, 48, 50, 51, 52, 53, 54, 55, 56, 57, 59, 60, 61, 62, 64, 65, 66, 67, 68, 69, 70, 72, 73, 74, 75, 80, 81, 82], "depend": 4, "ani": [4, 40, 52, 73], "releas": 4, "host": [5, 12], "applic": [5, 12, 34, 35, 52, 75], "recommend": [5, 23, 32, 36, 45, 54, 55, 56, 64, 67, 69, 70], "workflow": 5, "organ": [5, 77, 78, 79], "program": 5, "structur": 5, "core": [5, 11], "user": [5, 21, 83], "interfac": [5, 21, 25, 35, 39, 43, 51, 59, 74, 75], "The": [5, 19], "graph": 5, "bring": 6, "signal": [6, 8, 14, 20, 21, 30, 32, 36, 40, 45, 54, 55, 56, 62, 64, 67, 69, 70, 81], "chain": [6, 8, 14, 20, 21, 30, 32, 36, 45, 54, 55, 56, 64, 67, 69, 70, 81], "from": [6, 25, 26, 27, 31, 34, 35, 36, 38, 39, 41, 43, 47, 50, 51, 52, 56, 59, 72, 74], "hardwar": [6, 14, 15, 22, 26, 34, 47, 50, 57], "stream": [7, 15, 25, 27, 28, 30, 31, 34, 36, 37, 39, 41, 43, 47, 50, 51, 55, 59, 61, 65, 67, 71, 72, 74, 82], "pre": 7, "continu": [7, 8, 9, 20, 27, 28, 32, 35, 37, 40, 41, 43, 44, 54, 55, 58, 61, 62, 64, 66, 67, 70, 75, 77, 78, 79, 80], "event": [7, 8, 9, 26, 29, 32, 35, 36, 42, 48, 52, 53, 55, 58, 61, 62, 64, 65, 67, 70, 71, 75, 77, 78, 79, 80, 82], "reader": [7, 38], "ar": [8, 9, 10, 33, 61, 79], "kei": 8, "element": 8, "": [8, 27, 31, 40, 78, 82], "thei": 8, "respond": [8, 16, 17], "updat": [8, 12, 17, 50], "spike": [8, 9, 17, 20, 35, 44, 53, 56, 58, 62, 65, 67, 68, 69, 70, 75, 77, 78, 79, 80], "insid": 8, "process": [8, 15, 32, 43, 70, 82], "method": [8, 10, 16, 17, 53], "overview": [8, 10, 11, 27], "constructor": 8, "genericprocessor": 8, "genericeditor": 8, "start": [8, 81], "stop": [8, 81], "acquisit": [8, 22, 24, 25, 50, 51, 79, 81], "send": [8, 35, 52, 75, 81], "receiv": [8, 34, 35], "messag": [8, 21, 52, 56, 75, 81], "paramet": [8, 16, 17, 28, 67], "defin": [8, 9, 17, 53, 54], "access": 8, "editor": [8, 10, 16, 17], "valu": [8, 16, 17], "chang": [8, 16, 17, 69], "save": [8, 10, 30, 50, 62], "load": [8, 10, 15, 30, 38, 50, 58], "custom": [8, 16, 34, 37, 50, 51, 58], "how": [9, 16], "written": [9, 58], "disk": 9, "npy": 9, "sequenti": 9, "block": 9, "canva": [10, 17], "displai": [10, 40, 42, 53, 66, 69, 71], "interact": 10, "plot": 10, "open": [11, 19, 22, 24, 25, 34, 43, 51, 52, 57, 77, 79], "ephi": [11, 19, 22, 24, 25, 34, 43, 51, 52, 77, 79], "api": [11, 43], "log": [11, 21], "servic": 11, "develop": [12, 57], "guid": 12, "report": 12, "bug": 12, "build": [12, 14, 20], "other": [12, 16, 17, 22, 34, 36, 38, 48, 73], "project": 12, "faq": 13, "measur": [14, 37], "close": [14, 25, 54, 59, 72, 81], "loop": [14, 25, 54, 59, 72], "latenc": [14, 37, 42, 65], "requir": [14, 22, 47, 50, 57], "arduino": [14, 26], "connect": [14, 47, 48, 50], "devic": [14, 26, 41, 47], "system": [14, 50, 74], "affect": 14, "next": [14, 16], "step": [14, 16], "synchron": [15, 36, 47, 50, 62, 80], "gener": [15, 32, 33, 57, 68, 76, 80], "principl": 15, "softwar": [15, 26, 34], "monitor": [15, 27, 40, 69, 75, 82], "question": 15, "To": 16, "make": [16, 17, 33, 73, 75], "own": [16, 17], "ui": [16, 17], "compon": [16, 17, 21], "slider": 16, "combobox": 16, "option": [16, 21, 27, 40, 53, 80], "combo": 17, "box": [17, 68], "popul": 17, "push": 17, "calcul": [17, 54], "rate": [17, 25, 39, 44, 51, 56, 59, 74], "tutori": 18, "befor": [19, 40], "you": 19, "begin": 19, "about": [19, 35, 41, 81], "philosopi": 19, "behind": 19, "strength": 19, "weak": 19, "thing": 19, "keep": 19, "mind": [19, 79], "default": [20, 50, 77, 78, 82], "node": [20, 62, 80], "acquir": [20, 47], "detect": [20, 29, 61, 64, 67, 69], "listen": 20, "explor": [21, 56], "main": [21, 40], "1": [21, 78], "list": [21, 41], "2": [21, 78], "3": [21, 40], "center": 21, "4": 21, "control": [21, 26, 34, 48, 50, 52, 53, 59, 60, 61, 62, 81], "panel": [21, 71], "5": 21, "global": [21, 80], "6": [21, 82], "viewport": 21, "menu": 21, "item": 21, "view": [21, 50], "help": [21, 31], "debug": 21, "consol": 21, "For": 22, "board": [22, 24, 25, 39, 51], "comput": [22, 27], "spec": 22, "neuropixel": [22, 29, 47, 49, 50, 56], "probe": [22, 29, 49, 50, 56], "instal": [23, 24, 25, 29, 32, 33, 34, 35, 37, 39, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 52, 53, 54, 56, 57, 58, 59, 60, 64, 65, 66, 68, 71, 72, 73, 75, 76, 78], "via": [23, 48, 56, 78], "zip": 23, "ubuntu": 23, "portag": 23, "gentoo": 23, "all": [23, 36, 70, 79, 81], "distro": 23, "dmg": 23, "acq": 24, "output": [24, 25, 26, 27, 37, 39, 44, 51, 55, 57, 59, 60, 65, 74], "trigger": [24, 32, 40, 46, 53, 55, 57, 60, 61], "digit": [24, 26, 47, 60], "an": [24, 25, 26, 33, 39, 40, 44, 51, 53, 55, 57, 59, 60, 69], "opal": [24, 25], "kelli": [24, 25], "fpga": [24, 25, 51], "upgrad": [24, 25, 29, 32, 33, 34, 35, 37, 39, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 52, 53, 54, 56, 57, 58, 59, 60, 64, 65, 66, 68, 71, 72, 73, 75, 76], "headstag": [25, 39, 50, 51, 59, 74], "16": [25, 39, 51, 59], "channel": [25, 27, 28, 29, 30, 31, 32, 33, 37, 39, 40, 43, 44, 46, 51, 54, 56, 57, 59, 62, 64, 66, 70, 73, 79, 80], "sampl": [25, 38, 39, 49, 51, 59, 74], "select": [25, 27, 28, 39, 40, 44, 50, 51, 54, 59, 62, 74], "bandwidth": [25, 39, 46, 51, 59, 74], "turn": [25, 39, 51, 59], "aux": [25, 39, 51, 59], "adc": [25, 39, 51, 59, 74], "audio": [25, 27, 39, 40, 51, 59, 69, 74, 82], "nois": [25, 31, 39, 51, 59, 74], "slicer": [25, 39, 51, 59, 74], "clock": [25, 39, 51, 59], "divid": [25, 39, 51, 59], "dsp": [25, 39, 51, 59, 74], "button": [25, 39, 51, 59, 61, 74], "dac": [25, 39, 51, 59, 74], "ttl": [25, 32, 35, 39, 42, 48, 51, 52, 53, 59, 61, 64, 71, 74], "high": [25, 28, 29, 39, 51, 59, 74, 79], "pass": [25, 39, 51, 59, 74], "filter": [25, 28, 39, 51, 59, 74], "settl": [25, 39, 51, 59, 74], "led": [25, 51], "imped": [25, 39, 51, 59, 74], "test": [25, 29, 39, 50, 51, 59, 74], "feedback": [25, 46, 59], "allow": [26, 43, 45, 61, 70], "within": [26, 30, 54], "state": 26, "pin": 26, "run": 26, "firmata": 26, "provid": [26, 52], "quick": [26, 34], "easi": 26, "wai": [26, 34, 52], "translat": [26, 36, 82], "inform": [26, 29, 35, 41, 52, 64, 72, 81], "compat": [26, 47, 50, 77], "incom": [27, 28, 43, 53, 58, 62, 70], "work": [27, 28, 30, 31, 52, 53, 55, 67, 71], "multipl": [27, 28, 30, 31, 32, 45, 47, 55, 67, 71, 75, 80], "bandpass": 28, "between": [28, 34, 42, 50], "specifi": [28, 32, 54], "low": [28, 37, 65], "cutoff": 28, "frequenc": [28, 54, 56], "hz": [28, 55], "2nd": 28, "order": [28, 53, 56], "butterworth": 28, "maxim": [28, 77], "flat": [28, 77], "respons": 28, "passband": [28, 54], "detail": [28, 77, 78, 79], "cnn": 29, "rippl": [29, 64], "1d": 29, "convolut": 29, "neural": [29, 46], "network": [29, 48], "hippocamp": [29, 64], "across": [29, 31, 44], "8": [29, 55, 66], "simultan": [29, 47], "thi": [29, 33, 34, 40, 41, 46, 47, 52, 77, 78, 79], "ha": 29, "been": [29, 69], "linear": [29, 56], "arrai": 29, "densiti": 29, "silicon": 29, "can": [29, 32, 34, 35, 40, 46, 47, 52, 54, 56, 61, 67, 79], "onlin": [29, 47, 53], "offlin": [29, 33, 47], "more": [29, 41, 64], "map": 30, "reorder": 30, "remov": [30, 31], "averag": [31, 44, 46, 49], "refer": [31, 49, 50, 73], "electrod": [31, 44, 67, 69, 79], "cross": [32, 54, 55], "detector": [32, 54, 55, 64, 67, 68], "emit": [32, 55, 64], "when": [32, 55, 64], "threshold": [32, 40, 67], "level": 32, "each": [32, 40, 79], "one": [32, 36, 40, 43, 45, 64], "input": [32, 37, 38, 47, 54, 61], "time": [32, 33, 40, 43, 44, 53, 56, 66], "place": 32, "seri": 32, "mani": 32, "desir": 32, "criteria": 32, "durat": 32, "snapshot": 33, "convert": [33, 52], "short": 33, "segment": [33, 40], "imag": 33, "x": [33, 56, 78, 82], "Such": 33, "typic": [33, 54], "assess": 33, "qualiti": [33, 40], "possibl": [33, 73, 75], "them": [33, 67], "dure": [33, 40, 79], "experi": [33, 40], "usag": [33, 42, 45, 49, 53, 70, 71], "socket": [34, 35], "format": [34, 35, 62, 77, 78, 79, 80, 82], "tcp": 34, "implement": 34, "particular": 34, "A": [34, 43, 49], "case": 34, "i": [34, 35, 64, 77, 78, 79], "bonsai": [34, 52, 72], "intend": 34, "third": 34, "parti": 34, "below": 34, "setup": [34, 65], "which": [34, 55], "extend": 34, "carri": 34, "same": 34, "In": 34, "variabl": 34, "remot": [34, 48, 50, 53, 62, 81], "broadcast": [35, 81], "zeromq": [35, 75], "transmit": 35, "lightweight": 35, "ongo": 35, "full": 35, "need": 35, "zmq": [35, 75], "should": 35, "instead": [35, 61], "json": [35, 50, 77], "packet": [35, 75], "raw": 35, "binari": [35, 77], "python": [35, 48, 58, 77, 78, 79], "copi": [36, 50, 70], "expect": 36, "behavior": 36, "falcon": 37, "client": 37, "read": [38, 47, 65, 67, 77, 78, 79], "local": [38, 40], "scrub": 38, "intan": [39, 59, 60], "rhd": [39, 59, 60], "usb": [39, 47], "lfp": 40, "viewer": [40, 56, 66, 69], "stand": 40, "field": 40, "potenti": 40, "type": [40, 53, 62, 76], "It": [40, 54, 55, 61, 78, 79], "essenti": 40, "overal": 40, "either": 40, "side": 40, "stack": 40, "top": 40, "anoth": 40, "layout": [40, 53], "addit": 40, "singl": [40, 46, 79], "mode": [40, 50, 56, 61], "lsl": 41, "inlet": 41, "lab": 41, "layer": 41, "protocol": 41, "see": 41, "document": 41, "support": 41, "histogram": [42, 53], "two": [42, 70], "line": [42, 60], "matlab": [43, 48, 77, 78, 79], "live": 43, "session": 43, "seamless": 43, "real": [43, 66], "mean": [44, 55], "estim": [44, 54], "exponenti": 44, "weight": [44, 46], "move": 44, "tempor": 44, "adjust": [44, 67], "constant": 44, "result": 44, "onto": 44, "overwrit": 44, "its": 44, "content": 44, "merger": 45, "combin": [45, 54, 68, 73], "multi": 46, "band": [46, 54, 56], "integr": 46, "mix": 46, "appli": 46, "roll": 46, "interest": [46, 54], "base": [46, 47, 50, 61, 68, 72, 78, 82], "oscil": [46, 55], "ni": 47, "daqmx": 47, "analog": 47, "nation": 47, "instrument": 47, "instanc": 47, "sever": 47, "pxi": [47, 50], "pci": 47, "tandem": 47, "neuropix": 47, "parallel": 47, "nidaq": 47, "comparison": 47, "6133": 47, "pxie": 47, "6341": 47, "6521": 47, "pcie": 47, "6321": 47, "6001": 47, "add": [48, 75], "command": 48, "exampl": [48, 75], "car": 49, "optim": 49, "pattern": 49, "troubleshoot": 50, "calibr": 50, "activ": 50, "imro": 50, "probeinterfac": 50, "name": [50, 79, 82], "simul": 50, "built": [50, 82], "self": 50, "basest": 50, "firmwar": 50, "oe": 51, "design": [51, 52, 55, 79], "osc": 52, "sound": 52, "wa": [52, 55], "simpl": 52, "psth": 53, "align": 53, "peri": 53, "stimulu": 53, "unlimit": 53, "number": [53, 82], "condit": 53, "size": [53, 69], "sorter": [53, 68], "phase": [54, 55], "perform": 54, "specif": [54, 55, 78, 81], "stimul": [54, 72], "arriv": 55, "realli": 55, "peak": 55, "fall": 55, "zero": 55, "trough": 55, "rise": 55, "origin": [55, 79], "theta": 55, "mous": 55, "hippocampu": 55, "heatmap": 56, "show": 56, "rm": 56, "power": [56, 66], "differ": 56, "render": 56, "anatomi": 56, "overlai": 56, "pinpoint": 56, "trajectori": 56, "config": 56, "puls": 57, "pal": 57, "train": 57, "sold": 57, "sanwork": 57, "gate": 57, "handl": 58, "environ": 58, "interpret": 58, "path": 58, "modul": 58, "limit": 58, "rec": [59, 60], "1024": 59, "automat": 61, "toggl": [61, 71], "off": 61, "manual": [61, 68, 83], "press": 61, "directori": [62, 79], "rhythm": 63, "algorithm": [64, 67], "river": 65, "redi": 65, "databas": 65, "veri": 65, "background": 65, "tabl": 65, "out": 65, "spectrum": 66, "spectrogram": 66, "packag": 67, "downstream": 67, "sort": 68, "drawn": 68, "waveform": 68, "space": 68, "boundari": 68, "pca": 68, "must": [68, 78], "have": 69, "upstream": 69, "splitter": 70, "independ": 70, "along": 70, "branch": 70, "understand": 71, "track": 72, "enabl": 72, "posit": 72, "roi": 72, "virtual": 73, "xdaq": 74, "advanc": 75, "ons": 75, "opeth": 75, "heartbeat": 75, "envelop": 75, "featur": 76, "downgrad": 76, "uninstal": 76, "store": [77, 79], "everyth": 77, "els": 77, "numpi": 77, "take": 77, "advantag": 77, "wide": 77, "standard": 77, "exist": 77, "futur": 77, "analysi": 77, "tool": 77, "text": 77, "nwb": 78, "replac": 78, "deprec": 78, "0": [78, 82], "sinc": 78, "avail": [78, 80], "download": 78, "redund": 79, "so": 79, "readili": 79, "recov": 79, "even": 79, "crash": 79, "howev": 79, "becaus": 79, "separ": 79, "doesn": 79, "t": 79, "scale": 79, "count": 79, "identifi": 79, "get": 81, "what": 82, "version": 82, "fewer": 82, "consist": 82, "convent": 82, "http": 82, "server": 82}, "envversion": {"sphinx.domains.c": 2, "sphinx.domains.changeset": 1, "sphinx.domains.citation": 1, "sphinx.domains.cpp": 8, "sphinx.domains.index": 1, "sphinx.domains.javascript": 2, "sphinx.domains.math": 2, "sphinx.domains.python": 3, "sphinx.domains.rst": 2, "sphinx.domains.std": 2, "sphinx.ext.todo": 2, "sphinx": 57}, "alltitles": {"Common and external libraries": [[0, "common-and-external-libraries"]], "Common libraries": [[0, "common-libraries"]], "Modifying the source code": [[0, "modifying-the-source-code"]], "Using the common library in a plugin": [[0, "using-the-common-library-in-a-plugin"]], "External libraries": [[0, "external-libraries"]], "Header-only / Class Libraries": [[0, "header-only-class-libraries"]], "Shared / dynamic Libraries": [[0, "shared-dynamic-libraries"]], "Compiling plugins": [[1, "compiling-plugins"]], "Obtaining the source code": [[1, "obtaining-the-source-code"]], "Windows": [[1, "windows"], [2, "windows"], [21, "windows"], [21, "id3"], [23, "windows"]], "macOS": [[1, "macos"], [2, "macos"], [21, "macos"], [21, "id2"], [23, "macos"]], "Linux": [[1, "linux"], [2, "linux"], [21, "linux"], [21, "id1"], [23, "linux"]], "Compiling the GUI": [[2, "compiling-the-gui"]], "Creating a new plugin": [[3, "creating-a-new-plugin"]], "Creating a new plugin repository": [[3, "creating-a-new-plugin-repository"], [16, "creating-a-new-plugin-repository"], [17, "creating-a-new-plugin-repository"]], "Editing OpenEphysLib.cpp": [[3, "editing-openephyslib-cpp"]], "Processor and Visualizer Plugins": [[3, "processor-and-visualizer-plugins"]], "Data Thread Plugins": [[3, "data-thread-plugins"]], "Record Engine Plugins": [[3, "record-engine-plugins"]], "File Source Plugins": [[3, "file-source-plugins"]], "Adding source code": [[3, "adding-source-code"]], "Including external libraries": [[3, "including-external-libraries"]], "Compiling your plugin": [[3, "compiling-your-plugin"], [16, "compiling-your-plugin"], [17, "compiling-your-plugin"]], "Distributing plugins": [[4, "distributing-plugins"]], "Setting up the plugin repository": [[4, "setting-up-the-plugin-repository"]], "Setting up the Artifactory repository": [[4, "setting-up-the-artifactory-repository"]], "Properties for Artifactory repository": [[4, "id1"]], "Configuring external dependencies (if any)": [[4, "configuring-external-dependencies-if-any"]], "Releasing the plugin": [[4, "releasing-the-plugin"]], "Modifying the host application": [[5, "modifying-the-host-application"]], "Recommended workflow": [[5, "recommended-workflow"]], "Code organization": [[5, "code-organization"]], "Program structure": [[5, "program-structure"]], "Core application classes": [[5, "core-application-classes"]], "User interface classes": [[5, "user-interface-classes"]], "The processor graph": [[5, "the-processor-graph"]], "Data Threads": [[6, "data-threads"]], "Data Threads bring data into the signal chain from external hardware.": [[6, "id1"]], "File Sources": [[7, "file-sources"], [76, "file-sources"]], "File Sources stream pre-recorded continuous data and events into the File Reader.": [[7, "id1"]], "Processor Plugins": [[8, "processor-plugins"], [76, "processor-plugins"]], "Processor plugins are the key elements of the GUI\u2019s signal chain; they respond to and update continuous data, spikes, and events inside their process() method.": [[8, "id1"]], "Overview": [[8, "overview"], [10, "overview"], [11, "overview"], [27, "overview"]], "Key methods": [[8, "key-methods"]], "Constructors": [[8, "constructors"]], "GenericProcessor constructor": [[8, "genericprocessor-constructor"]], "GenericEditor constructor": [[8, "genericeditor-constructor"]], "Updating plugin settings": [[8, "updating-plugin-settings"]], "Starting/stopping acquisition": [[8, "starting-stopping-acquisition"]], "Processing data": [[8, "processing-data"]], "Continuous data": [[8, "continuous-data"], [75, "continuous-data"]], "Spike and event data": [[8, "spike-and-event-data"]], "Sending and receiving messages": [[8, "sending-and-receiving-messages"]], "Plugin parameters": [[8, "plugin-parameters"]], "Defining parameters": [[8, "defining-parameters"]], "Accessing parameters": [[8, "accessing-parameters"]], "Creating parameter editors": [[8, "creating-parameter-editors"]], "Responding to parameter value changes": [[8, "responding-to-parameter-value-changes"], [16, "responding-to-parameter-value-changes"], [17, "responding-to-parameter-value-changes"]], "Saving and loading custom parameters": [[8, "saving-and-loading-custom-parameters"]], "Record Engines": [[9, "record-engines"], [76, "record-engines"]], "Record Engines define how continuous data, events, and spikes are written to disk.": [[9, "id1"]], "NPY Files": [[9, "npy-files"]], "Sequential Block Files": [[9, "sequential-block-files"]], "Visualizer Plugins": [[10, "visualizer-plugins"]], "Visualizers are Processor Plugins that include a canvas for displaying data.": [[10, "id1"]], "Visualizer Editor methods": [[10, "visualizer-editor-methods"]], "Visualizer methods": [[10, "visualizer-methods"]], "Saving/loading settings": [[10, "saving-loading-settings"]], "Interactive Plots": [[10, "interactive-plots"]], "Open Ephys Plugin API": [[11, "open-ephys-plugin-api"]], "Logging": [[11, "logging"]], "Core Services": [[11, "core-services"]], "Developer Guide": [[12, "developer-guide"]], "Reporting bugs": [[12, "reporting-bugs"]], "Building a new plugin": [[12, "building-a-new-plugin"]], "Updating the host application": [[12, "updating-the-host-application"]], "Other projects": [[12, "other-projects"]], "FAQs": [[13, "faqs"]], "Measuring Closed-Loop Latency": [[14, "measuring-closed-loop-latency"]], "Required hardware": [[14, "required-hardware"]], "Configuring the Arduinos": [[14, "configuring-the-arduinos"]], "Connecting the devices": [[14, "connecting-the-devices"]], "Building the signal chain": [[14, "building-the-signal-chain"]], "Measuring system latency": [[14, "measuring-system-latency"]], "Settings that affect latency": [[14, "settings-that-affect-latency"]], "Next steps": [[14, "next-steps"], [16, "next-steps"]], "Synchronizing Data Streams": [[15, "synchronizing-data-streams"]], "General principles of synchronization": [[15, "general-principles-of-synchronization"]], "Hardware Configuration": [[15, "hardware-configuration"]], "Software Configuration": [[15, "software-configuration"]], "Monitoring and Recording": [[15, "monitoring-and-recording"]], "Loading and Processing": [[15, "loading-and-processing"]], "Questions?": [[15, "questions"]], "How To Make Your Own Plugin": [[16, "how-to-make-your-own-plugin"]], "Editing OpenEphysLib.cpp and other files": [[16, "editing-openephyslib-cpp-and-other-files"], [17, "editing-openephyslib-cpp-and-other-files"]], "Setting up the Processor methods": [[16, "setting-up-the-processor-methods"], [17, "setting-up-the-processor-methods"]], "Adding UI components to the editor": [[16, "adding-ui-components-to-the-editor"], [17, "adding-ui-components-to-the-editor"]], "Creating a slider parameter editor": [[16, "creating-a-slider-parameter-editor"]], "Creating a ComboBox parameter editor": [[16, "creating-a-combobox-parameter-editor"]], "Creating a custom parameter editor (optional)": [[16, "creating-a-custom-parameter-editor-optional"]], "Making Your Own Visualizer Plugin": [[17, "making-your-own-visualizer-plugin"]], "Creating a Combo Box": [[17, "creating-a-combo-box"]], "Populating the Combo Box": [[17, "populating-the-combo-box"]], "Defining plugin parameters": [[17, "defining-plugin-parameters"]], "Creating the Visualizer": [[17, "creating-the-visualizer"]], "Updating Canvas parameters": [[17, "updating-canvas-parameters"]], "Pushing spikes to the canvas": [[17, "pushing-spikes-to-the-canvas"]], "Calculating the spike rate": [[17, "calculating-the-spike-rate"]], "Tutorials": [[18, "tutorials"]], "Before you begin": [[19, "before-you-begin"]], "About Open Ephys": [[19, "about-open-ephys"]], "The philosopy behind the GUI": [[19, "the-philosopy-behind-the-gui"]], "Strengths and weaknesses": [[19, "strengths-and-weaknesses"]], "Things to keep in mind": [[19, "things-to-keep-in-mind"]], "Building a signal chain": [[20, "building-a-signal-chain"]], "Default configurations": [[20, "default-configurations"], [82, "default-configurations"]], "Adding a data source": [[20, "adding-a-data-source"]], "Adding a Record Node": [[20, "adding-a-record-node"]], "Visualizing continuous signals": [[20, "visualizing-continuous-signals"]], "Acquiring data": [[20, "acquiring-data"]], "Detecting spikes": [[20, "detecting-spikes"]], "Visualizing spikes": [[20, "visualizing-spikes"]], "Recording and listening to spikes": [[20, "recording-and-listening-to-spikes"]], "Exploring the user interface": [[21, "exploring-the-user-interface"]], "Main components": [[21, "main-components"]], "1. Processor List": [[21, "processor-list"]], "2. Signal Chain": [[21, "signal-chain"]], "3. Message Center": [[21, "message-center"]], "4. Control Panel": [[21, "control-panel"]], "5. Global Recording Options": [[21, "global-recording-options"]], "6. Viewport": [[21, "viewport"]], "Menu items": [[21, "menu-items"]], "File": [[21, "file"]], "Edit": [[21, "edit"]], "View": [[21, "view"]], "Help": [[21, "help"]], "Debug console": [[21, "debug-console"]], "Log files": [[21, "log-files"]], "Hardware requirements": [[22, "hardware-requirements"], [50, "hardware-requirements"]], "For the Open Ephys acquisition board": [[22, "for-the-open-ephys-acquisition-board"]], "Computer specs": [[22, "computer-specs"], [22, "id1"]], "Other hardware": [[22, "other-hardware"], [22, "id2"]], "For Neuropixels probes": [[22, "for-neuropixels-probes"]], "Installing the GUI": [[23, "installing-the-gui"]], "Via installer (recommended)": [[23, "via-installer-recommended"]], "Via .zip file": [[23, "via-zip-file"], [23, "id1"]], "Via installer (Ubuntu only)": [[23, "via-installer-ubuntu-only"]], "Via Portage (Gentoo only)": [[23, "via-portage-gentoo-only"]], "Via .zip file (all distros)": [[23, "via-zip-file-all-distros"]], "Via DMG (recommended)": [[23, "via-dmg-recommended"]], "Acq Board Output": [[24, "acq-board-output"]], "Triggers digital outputs of an Open Ephys acquisition board with an Opal Kelly FPGA.": [[24, "id1"]], "Installing and upgrading": [[24, "installing-and-upgrading"], [25, "installing-and-upgrading"], [29, "installing-and-upgrading"], [32, "installing-and-upgrading"], [33, "installing-and-upgrading"], [34, "installing-and-upgrading"], [35, "installing-and-upgrading"], [37, "installing-and-upgrading"], [39, "installing-and-upgrading"], [41, "installing-and-upgrading"], [42, "installing-and-upgrading"], [43, "installing-and-upgrading"], [44, "installing-and-upgrading"], [46, "installing-and-upgrading"], [47, "installing-and-upgrading"], [48, "installing-and-upgrading"], [49, "installing-and-upgrading"], [50, "installing-and-upgrading"], [51, "installing-and-upgrading"], [52, "installing-and-upgrading"], [53, "installing-and-upgrading"], [54, "installing-and-upgrading"], [56, "installing-and-upgrading"], [57, "installing-and-upgrading"], [58, "installing-and-upgrading"], [59, "installing-and-upgrading"], [60, "installing-and-upgrading"], [64, "installing-and-upgrading"], [65, "installing-and-upgrading"], [66, "installing-and-upgrading"], [68, "installing-and-upgrading"], [71, "installing-and-upgrading"], [72, "installing-and-upgrading"], [73, "installing-and-upgrading"], [75, "installing-and-upgrading"]], "Plugin configuration": [[24, "plugin-configuration"], [25, "plugin-configuration"], [26, "plugin-configuration"], [27, "plugin-configuration"], [28, "plugin-configuration"], [29, "plugin-configuration"], [30, "plugin-configuration"], [31, "plugin-configuration"], [33, "plugin-configuration"], [35, "plugin-configuration"], [36, "plugin-configuration"], [39, "plugin-configuration"], [40, "plugin-configuration"], [42, "plugin-configuration"], [43, "plugin-configuration"], [44, "plugin-configuration"], [45, "plugin-configuration"], [48, "plugin-configuration"], [51, "plugin-configuration"], [52, "plugin-configuration"], [53, "plugin-configuration"], [54, "plugin-configuration"], [55, "plugin-configuration"], [56, "plugin-configuration"], [57, "plugin-configuration"], [59, "plugin-configuration"], [60, "plugin-configuration"], [61, "plugin-configuration"], [62, "plugin-configuration"], [64, "plugin-configuration"], [65, "plugin-configuration"], [67, "plugin-configuration"], [68, "plugin-configuration"], [69, "plugin-configuration"], [70, "plugin-configuration"], [74, "plugin-configuration"]], "Acquisition Board": [[25, "acquisition-board"]], "Streams data from an Open Ephys acquisition board with an Opal Kelly FPGA.": [[25, "id1"]], "Headstages": [[25, "headstages"], [39, "headstages"], [51, "headstages"], [59, "headstages"], [74, "headstages"]], "Using 16-channel headstages": [[25, "using-16-channel-headstages"], [39, "using-16-channel-headstages"], [51, "using-16-channel-headstages"], [59, "using-16-channel-headstages"]], "Sample rate selection": [[25, "sample-rate-selection"], [39, "sample-rate-selection"], [51, "sample-rate-selection"], [59, "sample-rate-selection"], [74, "sample-rate-selection"]], "Bandwidth interface": [[25, "bandwidth-interface"], [39, "bandwidth-interface"], [51, "bandwidth-interface"], [59, "bandwidth-interface"], [74, "bandwidth-interface"]], "Turning on AUX channels": [[25, "turning-on-aux-channels"], [39, "turning-on-aux-channels"], [51, "turning-on-aux-channels"], [59, "turning-on-aux-channels"]], "Turning on ADC channels": [[25, "turning-on-adc-channels"], [39, "turning-on-adc-channels"], [51, "turning-on-adc-channels"], [59, "turning-on-adc-channels"]], "Audio output": [[25, "audio-output"], [39, "audio-output"], [51, "audio-output"], [59, "audio-output"], [74, "audio-output"]], "Noise slicer": [[25, "noise-slicer"], [39, "noise-slicer"], [51, "noise-slicer"], [59, "noise-slicer"], [74, "noise-slicer"]], "Clock divider": [[25, "clock-divider"], [39, "clock-divider"], [51, "clock-divider"], [59, "clock-divider"]], "DSP button": [[25, "dsp-button"], [39, "dsp-button"], [51, "dsp-button"], [59, "dsp-button"], [74, "dsp-button"]], "DAC TTLs": [[25, "dac-ttls"], [39, "dac-ttls"], [51, "dac-ttls"], [59, "dac-ttls"], [74, "dac-ttls"]], "DAC High-pass filter": [[25, "dac-high-pass-filter"], [39, "dac-high-pass-filter"], [51, "dac-high-pass-filter"], [59, "dac-high-pass-filter"], [74, "dac-high-pass-filter"]], "TTL settle": [[25, "ttl-settle"], [39, "ttl-settle"], [51, "ttl-settle"], [59, "ttl-settle"], [74, "ttl-settle"]], "LED button": [[25, "led-button"], [51, "led-button"]], "Impedance testing": [[25, "impedance-testing"], [39, "impedance-testing"], [51, "impedance-testing"], [59, "impedance-testing"], [74, "impedance-testing"]], "Closed-loop feedback": [[25, "closed-loop-feedback"], [59, "closed-loop-feedback"]], "Arduino Output": [[26, "arduino-output"]], "Allows events from within the GUI to control the state of digital output pins on an Arduino running Firmata. Provides a quick and easy way to translate information from software to hardware.": [[26, "id1"]], "Compatible devices": [[26, "compatible-devices"]], "Configuring your Arduino": [[26, "configuring-your-arduino"]], "Audio Monitor": [[27, "audio-monitor"], [69, "audio-monitor"]], "Streams data from incoming continuous channels to the computer\u2019s audio output.": [[27, "id1"]], "Channel selection": [[27, "channel-selection"], [54, "channel-selection"]], "Output options": [[27, "output-options"]], "Working with multiple data streams": [[27, "working-with-multiple-data-streams"], [28, "working-with-multiple-data-streams"], [30, "working-with-multiple-data-streams"], [31, "working-with-multiple-data-streams"], [55, "working-with-multiple-data-streams"], [67, "working-with-multiple-data-streams"], [71, "working-with-multiple-data-streams"]], "Bandpass Filter": [[28, "bandpass-filter"]], "Filters incoming continuous data between the specified low cutoff and high cutoff frequencies (Hz) using a 2nd-order Butterworth filter (maximally flat frequency response in the passband).": [[28, "id1"]], "Filter parameters": [[28, "filter-parameters"]], "Selecting channels": [[28, "selecting-channels"]], "Filter details": [[28, "filter-details"]], "CNN Ripple": [[29, "cnn-ripple"]], "Uses a 1D convolutional neural network to detect hippocampal ripple events across 8 channels simultaneously. This plugin has been tested with linear arrays, high-density silicon probes, and Neuropixels, and can be used for online or offline ripple detection.": [[29, "id1"]], "More information": [[29, "more-information"], [64, "more-information"]], "Channel Map": [[30, "channel-map"]], "Used to reorder and remove channels within the signal chain.": [[30, "id1"]], "Reordering channels": [[30, "reordering-channels"]], "Removing channels": [[30, "removing-channels"]], "Saving and loading channel mappings": [[30, "saving-and-loading-channel-mappings"]], "Common Average Reference": [[31, "common-average-reference"]], "Used to create a reference from the average of multiple channels. Helpful for removing noise that\u2019s shared across electrodes.": [[31, "id1"]], "Crossing Detector": [[32, "crossing-detector"]], "Emits a TTL event when a continuous channel crosses a specified threshold level. Each Crossing Detector can only process one input channel at a time, but multiple detectors can be placed in series to trigger on as many channels as desired.": [[32, "id1"]], "Recommended signal chain": [[32, "recommended-signal-chain"], [36, "recommended-signal-chain"], [54, "recommended-signal-chain"], [55, "recommended-signal-chain"], [56, "recommended-signal-chain"], [64, "recommended-signal-chain"], [67, "recommended-signal-chain"], [69, "recommended-signal-chain"]], "Plugin Configuration": [[32, "plugin-configuration"], [34, "plugin-configuration"], [37, "plugin-configuration"], [41, "plugin-configuration"], [46, "plugin-configuration"], [66, "plugin-configuration"], [72, "plugin-configuration"], [73, "plugin-configuration"], [75, "plugin-configuration"]], "General settings": [[32, "general-settings"]], "Threshold level": [[32, "threshold-level"]], "Event criteria": [[32, "event-criteria"]], "Event duration": [[32, "event-duration"]], "Data Snapshot": [[33, "data-snapshot"]], "Converts a short segment of data into an image (time x channels). Such images are typically created offline to assess data quality, but this plugin makes it possible to generate them during an experiment.": [[33, "id1"]], "Plugin usage": [[33, "plugin-usage"], [42, "plugin-usage"], [49, "plugin-usage"], [53, "plugin-usage"]], "Ephys Socket": [[34, "ephys-socket"]], "Receives formatted data from a TCP socket that implements a particular header format. A common use case is to receive data streamed from Bonsai. This is intended to be a quick way to stream ephys data from a third-party application and visualize in the Open Ephys GUI. Below is a way to setup streaming between Bonsai and the Open Ephys GUI, which can be extended to other software and/or hardware that carry the same header format.": [[34, "id1"]], "In Bonsai": [[34, "in-bonsai"]], "In Open Ephys": [[34, "in-open-ephys"]], "Header Format for Custom Data Streams": [[34, "header-format-for-custom-data-streams"]], "Ephys Socket header variables": [[34, "id2"]], "Remote Control": [[34, "remote-control"]], "Event Broadcaster": [[35, "event-broadcaster"]], "Sends events from the GUI to external applications using a ZeroMQ socket. Can be used to transmit \u201clightweight\u201d information about ongoing spikes/events; if the full continuous data is needed, the ZMQ Interface should be used instead.": [[35, "id1"]], "JSON Packet Format": [[35, "json-packet-format"]], "Spikes": [[35, "spikes"], [77, "spikes"], [78, "spikes"], [79, "spikes"]], "TTL Events": [[35, "ttl-events"], [48, "ttl-events"]], "Raw Binary Packet Format": [[35, "raw-binary-packet-format"]], "Receiving data in Python": [[35, "receiving-data-in-python"]], "Event Translator": [[36, "event-translator"]], "Copies events from one data stream to all other synchronized streams.": [[36, "id1"]], "Expected behavior": [[36, "expected-behavior"]], "Falcon Output": [[37, "falcon-output"]], "Streams continuous channels with low latency.": [[37, "id1"]], "Creating a custom client": [[37, "creating-a-custom-client"]], "Falcon Input": [[37, "falcon-input"]], "Latency Measurements": [[37, "latency-measurements"]], "File Reader": [[38, "file-reader"]], "Reads data from a local file.": [[38, "id1"]], "Loading Data": [[38, "loading-data"]], "File Scrubbing": [[38, "file-scrubbing"]], "Sample Data": [[38, "sample-data"]], "Other input data": [[38, "other-input-data"]], "Intan RHD USB": [[39, "intan-rhd-usb"]], "Streams data from an Intan RHD USB Interface Board.": [[39, "id1"]], "LFP Viewer": [[40, "lfp-viewer"]], "\u201cLFP\u201d stands for \u201clocal field potential,\u201d but this visualizer can display any type of continuous signal. It\u2019s an essential plugin for monitoring overall data quality before and during an experiment. Each LFP Viewer can display up to 3 segments of data at a time, either side-by-side or stacked on top of one another.": [[40, "id1"]], "Layout selection": [[40, "layout-selection"]], "Main options": [[40, "main-options"]], "Additional options": [[40, "additional-options"]], "Thresholds": [[40, "thresholds"]], "Channels": [[40, "channels"]], "Signals": [[40, "signals"]], "Triggered display": [[40, "triggered-display"]], "Single-channel mode": [[40, "single-channel-mode"]], "Audio monitoring": [[40, "audio-monitoring"]], "LSL Inlet": [[41, "lsl-inlet"]], "Streams continuous data from devices that use the Lab Streaming Layer protocol. See the Lab Streaming Layer documentation for more information about this library and a list of supported devices.": [[41, "id1"]], "Latency Histogram": [[42, "latency-histogram"]], "Displays latencies between events on two TTL lines as a histogram.": [[42, "id1"]], "MATLAB Interface": [[43, "matlab-interface"]], "Streams one channel of continuous data from the Open Ephys GUI to a live Matlab session. A Matlab API allows seamless processing of the incoming data in real time.": [[43, "id1"]], "Matlab API": [[43, "matlab-api"]], "Mean Spike Rate": [[44, "mean-spike-rate"]], "Estimates the mean spike rate across time and channels. Uses an exponentially weighted moving average to estimate a temporal mean (with adjustable time constant), and averages the rate across selected spike channels (electrodes). Outputs the resulting rate onto a selected continuous channel (overwriting its contents).": [[44, "id1"]], "Merger": [[45, "merger"]], "Allows multiple signal chains to be combined into one.": [[45, "id2"]], "Recommended usage": [[45, "recommended-usage"], [70, "recommended-usage"]], "Multi-Band Integrator": [[46, "multi-band-integrator"]], "Mixes, weights, and applies a rolling average to bandwidths of interest on a single channel. This plugin can be used to trigger feedback based on neural oscillations.": [[46, "id1"]], "NI-DAQmx": [[47, "ni-daqmx"]], "Streams analog and digital data from National Instruments (NI) hardware. Use multiple instances of this plugin to acquire data from several PXI-, PCI-, and/or USB-based NI devices simultaneously. Can be used in tandem with the Neuropix-PXI plugin, to read in analog and digital inputs in parallel with Neuropixels data.": [[47, "id2"]], "Requirements": [[47, "requirements"]], "Compatible hardware": [[47, "compatible-hardware"]], "Connecting to your NIDAQ hardware": [[47, "connecting-to-your-nidaq-hardware"]], "Offline Synchronization": [[47, "offline-synchronization"]], "Online Synchronization": [[47, "online-synchronization"]], "NIDAQ Device Comparison": [[47, "nidaq-device-comparison"]], "PXI-6133": [[47, "pxi-6133"]], "PXIe-6341": [[47, "pxie-6341"]], "PXI-6521": [[47, "pxi-6521"]], "PCIe-6321": [[47, "pcie-6321"]], "USB-6001": [[47, "usb-6001"]], "Network Events": [[48, "network-events"]], "Adds TTL events via a network connection.": [[48, "id1"]], "Remote control commands": [[48, "remote-control-commands"]], "Other commands": [[48, "other-commands"]], "Example Code": [[48, "example-code"], [75, "example-code"]], "Matlab": [[48, "matlab"]], "Python": [[48, "python"]], "Neuropixels CAR": [[49, "neuropixels-car"]], "A common average reference optimized for use with Neuropixels probes.": [[49, "id1"]], "Neuropixels sampling pattern": [[49, "neuropixels-sampling-pattern"]], "Neuropixels PXI": [[50, "neuropixels-pxi"]], "Streams data from a PXI-based Neuropixels data acquisition system.": [[50, "id1"]], "Compatible probes": [[50, "compatible-probes"]], "Connecting to the PXI system": [[50, "connecting-to-the-pxi-system"]], "Troubleshooting connections": [[50, "troubleshooting-connections"]], "Calibrating probes": [[50, "calibrating-probes"]], "Configuring probe settings": [[50, "configuring-probe-settings"]], "Reference selection": [[50, "reference-selection"]], "Activity view": [[50, "activity-view"]], "Saving, loading, and copying settings": [[50, "saving-loading-and-copying-settings"]], "Default loading and saving": [[50, "default-loading-and-saving"]], "Copying settings between probes": [[50, "copying-settings-between-probes"]], "IMRO files": [[50, "imro-files"]], "ProbeInterface JSON files": [[50, "probeinterface-json-files"]], "Plugin data streams": [[50, "plugin-data-streams"]], "Customizing stream names": [[50, "customizing-stream-names"]], "Synchronization settings": [[50, "synchronization-settings"]], "Simulation mode": [[50, "simulation-mode"]], "Built-in self tests": [[50, "built-in-self-tests"], [50, "id2"]], "Headstage tests": [[50, "headstage-tests"]], "Updating basestation firmware": [[50, "updating-basestation-firmware"]], "Remote control": [[50, "remote-control"], [53, "remote-control"], [62, "remote-control"], [81, "remote-control"]], "OE FPGA Acquisition Board": [[51, "oe-fpga-acquisition-board"]], "Streams data from an Open Ephys acquisition board with a custom FPGA designed by Open Ephys.": [[51, "id1"]], "OSC Events": [[52, "osc-events"]], "Converts Open Sound Control (OSC) messages into TTL events. This plugin was designed to provide a simple way to send information from Bonsai to the Open Ephys GUI, but it will work with any application that can send OSC messages.": [[52, "id1"]], "Bonsai configuration": [[52, "bonsai-configuration"]], "Online PSTH": [[53, "online-psth"]], "Aligns spike times to incoming TTL events in order to create a peri-stimulus time histogram displays for an unlimited number of conditions.": [[53, "id1"]], "Define the trigger conditions": [[53, "define-the-trigger-conditions"]], "Define the window size": [[53, "define-the-window-size"]], "Display options": [[53, "display-options"]], "Display type": [[53, "display-type"]], "Display layout": [[53, "display-layout"]], "Triggering methods": [[53, "triggering-methods"]], "Working with the Spike Sorter": [[53, "working-with-the-spike-sorter"]], "Phase Calculator": [[54, "phase-calculator"]], "Estimates the phase of a continuous input signal within a specified passband. It can be used to perform phase-specific closed-loop stimulation, typically in combination with the Crossing Detector.": [[54, "id1"]], "Defining the frequency band of interest": [[54, "defining-the-frequency-band-of-interest"]], "Visualization": [[54, "visualization"], [66, "visualization"]], "Phase Detector": [[55, "phase-detector"]], "Emits events when a continuous signal arrives at a specific \u201cphase,\u201d which really means a peak, falling zero-crossing, trough, or rising zero-crossing. It was originally designed to trigger output at specific phases of an 8 Hz theta oscillation in mouse hippocampus.": [[55, "id1"]], "Probe Viewer": [[56, "probe-viewer"]], "Visualizes the signal from a linear probe as a heatmap (time x channels). Can be configured to show RMS signal, spike rate, or power in different frequency bands.": [[56, "id1"]], "Render modes": [[56, "render-modes"]], "Channel ordering": [[56, "channel-ordering"]], "Anatomy overlay": [[56, "anatomy-overlay"]], "Via Pinpoint": [[56, "via-pinpoint"]], "Via Neuropixels Trajectory Explorer": [[56, "via-neuropixels-trajectory-explorer"]], "Via config messages": [[56, "via-config-messages"]], "Pulse Pal": [[57, "pulse-pal"]], "Triggers the outputs of a Pulse Pal, an open-source pulse train generator developed and sold by Sanworks.": [[57, "id1"]], "Hardware Requirements": [[57, "hardware-requirements"]], "Trigger and gate channels": [[57, "trigger-and-gate-channels"]], "Pulse train settings": [[57, "pulse-train-settings"]], "Python Processor": [[58, "python-processor"]], "Modifies incoming continuous data and handles events and spikes using custom code written in Python.": [[58, "id1"]], "Setting up a Python environment": [[58, "setting-up-a-python-environment"]], "Setting the Python Interpreter Path": [[58, "setting-the-python-interpreter-path"]], "Creating & loading a Python Module": [[58, "creating-loading-a-python-module"]], "Limitations": [[58, "limitations"]], "RHD Rec Controller": [[59, "rhd-rec-controller"]], "Streams up to 1024 channels from an Intan RHD Recording Controller.": [[59, "id1"]], "Rec Controller Output": [[60, "rec-controller-output"]], "Triggers the digital output lines of an Intan RHD Recording Controller.": [[60, "id1"]], "Record Control": [[61, "record-control"]], "Allows recording to be automatically toggled on and off by TTL inputs, instead of manually pressing the record button. It can be used to trigger recording using external TTLs, or based on events that are detected in a continuous data stream.": [[61, "id1"]], "Trigger modes": [[61, "trigger-modes"]], "Record Node": [[62, "record-node"]], "Saves incoming continuous signals, spikes, and events.": [[62, "id1"]], "Recording directory": [[62, "recording-directory"]], "Data format": [[62, "data-format"]], "Selecting channels to record": [[62, "selecting-channels-to-record"]], "Selecting data types to record": [[62, "selecting-data-types-to-record"]], "Synchronizer settings": [[62, "synchronizer-settings"]], "Rhythm Plugins": [[63, "rhythm-plugins"]], "Ripple Detector": [[64, "ripple-detector"]], "Emits a TTL event when a hippocampal ripple is detected on one continuous channel.": [[64, "id1"]], "Ripple detection algorithm": [[64, "ripple-detection-algorithm"]], "River Output": [[65, "river-output"]], "Streams spikes and events to a Redis database with very low latency.": [[65, "id1"]], "Background": [[65, "background"]], "Database setup": [[65, "database-setup"]], "Creating a new table": [[65, "creating-a-new-table"]], "Reading data out": [[65, "reading-data-out"]], "Spectrum Viewer": [[66, "spectrum-viewer"]], "Displays the real-time power spectrum for up to 8 continuous channels.": [[66, "id1"]], "Power Spectrum": [[66, "power-spectrum"]], "Spectrogram": [[66, "spectrogram"]], "Spike Detector": [[67, "spike-detector"]], "Detects spikes in continuous data and packages them as events that can be read by downstream processors.": [[67, "id1"]], "Creating electrodes": [[67, "creating-electrodes"]], "Adjusting parameters": [[67, "adjusting-parameters"]], "Thresholding algorithms": [[67, "thresholding-algorithms"]], "Spike Sorter": [[68, "spike-sorter"]], "Sorts spikes based on manually drawn boxes in waveform space, or boundaries in PCA space. Must be used in combination with a plugin that generates spikes, such as the Spike Detector.": [[68, "id1"]], "Spike Viewer": [[69, "spike-viewer"]], "Displays spikes that have been detected by an upstream plugin.": [[69, "id1"]], "Changing electrode display size": [[69, "changing-electrode-display-size"]], "Splitter": [[70, "splitter"]], "Creates a copy of all incoming continuous channels, spikes, and events, allowing data to be processed independently along two branches of the signal chain.": [[70, "id2"]], "TTL Panels": [[71, "ttl-panels"]], ".": [[71, "id1"]], "Understanding TTL events": [[71, "understanding-ttl-events"]], "Plugin Usage": [[71, "plugin-usage"]], "TTL Toggle Panel": [[71, "ttl-toggle-panel"]], "TTL Display Panel": [[71, "ttl-display-panel"]], "Tracking Plugin": [[72, "tracking-plugin"]], "Enables closed-loop stimulation based on position information streamed from Bonsai.": [[72, "id1"]], "Setting up Bonsai": [[72, "setting-up-bonsai"]], "Tracking sources": [[72, "tracking-sources"]], "Creating ROIs": [[72, "creating-rois"]], "Virtual Reference": [[73, "virtual-reference"]], "Makes it possible to reference any combination of channels to any other.": [[73, "id1"]], "XDAQ": [[74, "xdaq"]], "Streams data from XDAQ Systems.": [[74, "id1"]], "ADC selection": [[74, "adc-selection"]], "ZMQ Interface": [[75, "zmq-interface"]], "Sends continuous data, events, and spikes to external applications using the ZeroMQ library, making it possible to create advanced visualization and monitoring add-ons, such as OPETH": [[75, "id1"]], "Using multiple plugins": [[75, "using-multiple-plugins"]], "Heartbeat messages": [[75, "heartbeat-messages"]], "Data Packets": [[75, "data-packets"]], "Message Envelope": [[75, "message-envelope"]], "Message Header": [[75, "message-header"]], "Event data": [[75, "event-data"]], "Spike data": [[75, "spike-data"]], "Message Data": [[75, "message-data"]], "Plugins": [[76, "plugins"]], "Types of Plugins": [[76, "types-of-plugins"]], "General Plugin Features": [[76, "general-plugin-features"]], "Plugin Installer": [[76, "plugin-installer"]], "Installing Plugins": [[76, "installing-plugins"]], "Upgrading / Downgrading": [[76, "upgrading-downgrading"]], "Uninstalling Plugins": [[76, "uninstalling-plugins"]], "Binary Format": [[77, "binary-format"]], "This is the default format for the Open Ephys GUI. Continuous data is stored in flat binary files, and everything else is in JSON / numpy format. Takes advantage of widely used open standards to maximize compatibility with existing and future analysis tools.": [[77, "id1"]], "File organization": [[77, "file-organization"], [78, "file-organization"], [79, "file-organization"]], "Format details": [[77, "format-details"], [78, "format-details"], [79, "format-details"]], "Continuous": [[77, "continuous"], [78, "continuous"], [79, "continuous"]], "Events": [[77, "events"], [78, "events"], [79, "events"]], "Text events": [[77, "text-events"]], "Reading data in Python": [[77, "reading-data-in-python"], [78, "reading-data-in-python"], [79, "reading-data-in-python"]], "Reading data in Matlab": [[77, "reading-data-in-matlab"], [78, "reading-data-in-matlab"], [79, "reading-data-in-matlab"]], "NWB Format": [[78, "nwb-format"]], "This is a data format based on the NWB 2.X specification. It replaces the deprecated NWB 1.0 format. Since it is not available by default, it must be downloaded via the GUI\u2019s Plugin Installer.": [[78, "id1"]], "Open Ephys Format": [[79, "open-ephys-format"]], "This is the original format used by the Open Ephys GUI. It is designed with redundancy in mind, so that data can be readily recovered even if the GUI crashes during acquisition. However, because data for each electrode is stored in a separate file, it doesn\u2019t scale to high channel count recordings. All files are stored in a single directory, with the file names used to identify the data source.": [[79, "id1"]], "Headers": [[79, "headers"]], "Recording data": [[80, "recording-data"]], "Global recording options": [[80, "global-recording-options"]], "Available data formats": [[80, "available-data-formats"]], "Using the Record Node": [[80, "using-the-record-node"]], "General Configuration": [[80, "general-configuration"]], "Recording Continuous Channels": [[80, "recording-continuous-channels"]], "Recording Events": [[80, "recording-events"]], "Recording Spikes": [[80, "recording-spikes"]], "Multiple Record Nodes": [[80, "multiple-record-nodes"]], "Synchronizer": [[80, "synchronizer"]], "Start/stop acquisition and recording": [[81, "start-stop-acquisition-and-recording"]], "Get/set recording configuration": [[81, "get-set-recording-configuration"]], "Get information about the signal chain": [[81, "get-information-about-the-signal-chain"]], "Send a configuration message to a specific processor": [[81, "send-a-configuration-message-to-a-specific-processor"]], "Broadcast a message to all processors": [[81, "broadcast-a-message-to-all-processors"]], "Close the GUI remotely": [[81, "close-the-gui-remotely"]], "What\u2019s new in version 0.6.x": [[82, "what-s-new-in-version-0-6-x"]], "Stream-based processing": [[82, "stream-based-processing"]], "Fewer built-in plugins": [[82, "fewer-built-in-plugins"]], "Audio Monitor plugin": [[82, "audio-monitor-plugin"]], "Event Translator plugin": [[82, "event-translator-plugin"]], "Consistent naming and numbering conventions": [[82, "consistent-naming-and-numbering-conventions"]], "Settings file format": [[82, "settings-file-format"]], "Built-in HTTP server": [[82, "built-in-http-server"]], "User Manual": [[83, "user-manual"]]}, "indexentries": {"broadcastmessage (c++ function)": [[6, "_CPPv416broadcastMessage6String"], [8, "_CPPv416broadcastMessage6String"]], "createeditor (c++ function)": [[6, "_CPPv412createEditorP10SourceNode"]], "foundinputsource (c++ function)": [[6, "_CPPv416foundInputSourcev"]], "handlebroadcastmessage (c++ function)": [[6, "_CPPv422handleBroadcastMessage6String"], [8, "_CPPv422handleBroadcastMessage6String"]], "handleconfigmessage (c++ function)": [[6, "_CPPv419handleConfigMessage6String"], [8, "_CPPv419handleConfigMessage6String"]], "startacquisition (c++ function)": [[6, "_CPPv416startAcquisitionv"], [8, "_CPPv416startAcquisitionv"]], "stopacquisition (c++ function)": [[6, "_CPPv415stopAcquisitionv"], [8, "_CPPv415stopAcquisitionv"]], "updatebuffer (c++ function)": [[6, "_CPPv412updateBufferv"]], "updatesettings (c++ function)": [[6, "_CPPv414updateSettingsP10OwnedArrayI17ContinuousChannelEP10OwnedArrayI12EventChannelEP10OwnedArrayI12SpikeChannelEP10OwnedArrayI10DataStreamEP10OwnedArrayI10DeviceInfoEP10OwnedArrayI19ConfigurationObjectE"], [8, "_CPPv414updateSettingsv"]], "fillrecordinfo (c++ function)": [[7, "_CPPv414fillRecordInfov"]], "open (c++ function)": [[7, "_CPPv44open4File"]], "processchanneldata (c++ function)": [[7, "_CPPv418processChannelDataP5int16Pfi5int64"]], "processeventdata (c++ function)": [[7, "_CPPv416processEventDataR9EventInfo5int645int64"]], "readdata (c++ function)": [[7, "_CPPv48readDataP5int16i"]], "seekto (c++ function)": [[7, "_CPPv46seekTo5int64"]], "updateactiverecord (c++ function)": [[7, "_CPPv418updateActiveRecordi"]], "coreservices::sendstatusmessage (c++ function)": [[8, "_CPPv4N12CoreServices17sendStatusMessageE6String"], [11, "_CPPv4N12CoreServices17sendStatusMessageERK6String"]], "addintparameter (c++ function)": [[8, "_CPPv415addIntParameterN9Parameter14ParameterScopeERK6StringRK6Stringiii"]], "addttlchannel (c++ function)": [[8, "_CPPv413addTTLChannel6String"]], "addtextboxparametereditor (c++ function)": [[8, "_CPPv425addTextBoxParameterEditorRK6Stringii"]], "checkforevents (c++ function)": [[8, "_CPPv414checkForEventsb"]], "flipttlstate (c++ function)": [[8, "_CPPv412flipTTLStateii"]], "getnumsamplesinblock (c++ function)": [[8, "_CPPv420getNumSamplesInBlock6uint16"]], "getparameter (c++ function)": [[8, "_CPPv412getParameter6String"]], "getreadpointer (c++ function)": [[8, "_CPPv414getReadPointeri"]], "getwritepointer (c++ function)": [[8, "_CPPv415getWritePointeri"]], "handlespike (c++ function)": [[8, "_CPPv411handleSpike8SpikePtr"]], "handlettlevent (c++ function)": [[8, "_CPPv414handleTTLEvent11TTLEventPtr"]], "loadcustomparametersfromxml (c++ function)": [[8, "_CPPv427loadCustomParametersFromXmlP10XmlElement"]], "parametervaluechanged (c++ function)": [[8, "_CPPv421parameterValueChangedP9Parameter"]], "process (c++ function)": [[8, "_CPPv47process11AudioBufferIfE"]], "savecustomparameterstoxml (c++ function)": [[8, "_CPPv425saveCustomParametersToXmlP10XmlElement"]], "setttlstate (c++ function)": [[8, "_CPPv411setTTLStateiib"]], "npyfile (c++ function)": [[9, "_CPPv47NpyFile6String7NpyType"]], "sequentialblockfile (c++ function)": [[9, "_CPPv419SequentialBlockFilei"]], "closefiles (c++ function)": [[9, "_CPPv410closeFilesv"]], "getengineid (c++ function)": [[9, "_CPPv4NK11getEngineIdEv"]], "increaserecordcount (c++ function)": [[9, "_CPPv419increaseRecordCounti"]], "openfile (c++ function)": [[9, "_CPPv48openFile6String"]], "openfiles (c++ function)": [[9, "_CPPv49openFiles4Fileii"]], "writechannel (c++ function)": [[9, "_CPPv412writeChannel6uint64iP5int16i"]], "writecontinuousdata (c++ function)": [[9, "_CPPv419writeContinuousDataiiPKfPKdi"]], "writedata (c++ function)": [[9, "_CPPv49writeDataPKv6size_t"]], "writeevent (c++ function)": [[9, "_CPPv410writeEventiRK11EventPacket"]], "writespike (c++ function)": [[9, "_CPPv410writeSpikeiPK5Spike"]], "writetimestampsynctext (c++ function)": [[9, "_CPPv422writeTimestampSyncText6uint165int64f6String"]], "visualizer::loadcustomparametersfromxml (c++ function)": [[10, "_CPPv4N10Visualizer27loadCustomParametersFromXmlEP10XmlElement"]], "visualizer::savecustomparameterstoxml (c++ function)": [[10, "_CPPv4N10Visualizer25saveCustomParametersToXmlEP10XmlElement"]], "visualizereditor (c++ function)": [[10, "_CPPv416VisualizerEditorP16GenericProcessor6Stringi"]], "clear (c++ function)": [[10, "_CPPv45clearv"]], "createnewcanvas (c++ function)": [[10, "_CPPv415createNewCanvasv"]], "disable (c++ function)": [[10, "_CPPv47disablev"]], "enable (c++ function)": [[10, "_CPPv46enablev"]], "getrange (c++ function)": [[10, "_CPPv48getRangeR7XYRange"]], "loadvisualizereditorparameters (c++ function)": [[10, "_CPPv430loadVisualizerEditorParametersP10XmlElement"]], "paint (c++ function)": [[10, "_CPPv45paintR8Graphics"]], "plot (c++ function)": [[10, "_CPPv44plotNSt6vectorIfEENSt6vectorIfEE6Colourff8PlotType"]], "refresh (c++ function)": [[10, "_CPPv47refreshv"]], "refreshstate (c++ function)": [[10, "_CPPv412refreshStatev"]], "resized (c++ function)": [[10, "_CPPv47resizedv"]], "savevisualizereditorparameters (c++ function)": [[10, "_CPPv430saveVisualizerEditorParametersP10XmlElement"]], "setaxiscolour (c++ function)": [[10, "_CPPv413setAxisColour6Colour"]], "setbackgroundcolour (c++ function)": [[10, "_CPPv419setBackgroundColour6Colour"]], "setgridcolour (c++ function)": [[10, "_CPPv413setGridColour6Colour"]], "setinteractive (c++ function)": [[10, "_CPPv414setInteractive19InteractivePlotMode"]], "setrange (c++ function)": [[10, "_CPPv48setRangeR7XYRange"]], "show (c++ function)": [[10, "_CPPv44showv"]], "showgrid (c++ function)": [[10, "_CPPv48showGridb"]], "showxaxis (c++ function)": [[10, "_CPPv49showXAxisb"]], "showyaxis (c++ function)": [[10, "_CPPv49showYAxisb"]], "title (c++ function)": [[10, "_CPPv45title6String"]], "update (c++ function)": [[10, "_CPPv46updatev"]], "xlabel (c++ function)": [[10, "_CPPv46xlabel6String"]], "ylabel (c++ function)": [[10, "_CPPv46ylabel6String"]], "coreservices::recordnode::setrecordingdirectory (c++ function)": [[11, "_CPPv4N12CoreServices10RecordNode21setRecordingDirectoryE6Stringib"]], "coreservices::allrecordnodesaresynchronized (c++ function)": [[11, "_CPPv4N12CoreServices29allRecordNodesAreSynchronizedEv"]], "coreservices::createnewrecordingdirectory (c++ function)": [[11, "_CPPv4N12CoreServices27createNewRecordingDirectoryEv"]], "coreservices::getacquisitionstatus (c++ function)": [[11, "_CPPv4N12CoreServices20getAcquisitionStatusEv"]], "coreservices::getavailablerecordnodeids (c++ function)": [[11, "_CPPv4N12CoreServices25getAvailableRecordNodeIdsEv"]], "coreservices::getdefaultusersavedirectory (c++ function)": [[11, "_CPPv4N12CoreServices27getDefaultUserSaveDirectoryEv"]], "coreservices::getguiversion (c++ function)": [[11, "_CPPv4N12CoreServices13getGUIVersionEv"]], "coreservices::getrecordingdirectoryappendtext (c++ function)": [[11, "_CPPv4N12CoreServices31getRecordingDirectoryAppendTextEv"]], "coreservices::getrecordingdirectoryname (c++ function)": [[11, "_CPPv4N12CoreServices25getRecordingDirectoryNameEv"]], "coreservices::getrecordingdirectoryprependtext (c++ function)": [[11, "_CPPv4N12CoreServices32getRecordingDirectoryPrependTextEv"]], "coreservices::getrecordingparentdirectory (c++ function)": [[11, "_CPPv4N12CoreServices27getRecordingParentDirectoryEv"]], "coreservices::getrecordingstatus (c++ function)": [[11, "_CPPv4N12CoreServices18getRecordingStatusEv"]], "coreservices::getsavedstatedirectory (c++ function)": [[11, "_CPPv4N12CoreServices22getSavedStateDirectoryEv"]], "coreservices::getsoftwaresamplerate (c++ function)": [[11, "_CPPv4N12CoreServices21getSoftwareSampleRateEv"]], "coreservices::getsoftwaretimestamp (c++ function)": [[11, "_CPPv4N12CoreServices20getSoftwareTimestampEv"]], "coreservices::highlighteditor (c++ function)": [[11, "_CPPv4N12CoreServices15highlightEditorEP13GenericEditor"]], "coreservices::loadsignalchain (c++ function)": [[11, "_CPPv4N12CoreServices15loadSignalChainE6String"]], "coreservices::saverecoveryconfig (c++ function)": [[11, "_CPPv4N12CoreServices18saveRecoveryConfigEv"]], "coreservices::setacquisitionstatus (c++ function)": [[11, "_CPPv4N12CoreServices20setAcquisitionStatusEb"]], "coreservices::setrecordingdirectoryappendtext (c++ function)": [[11, "_CPPv4N12CoreServices31setRecordingDirectoryAppendTextE6String"]], "coreservices::setrecordingdirectorybasename (c++ function)": [[11, "_CPPv4N12CoreServices29setRecordingDirectoryBasenameE6String"]], "coreservices::setrecordingdirectoryprependtext (c++ function)": [[11, "_CPPv4N12CoreServices32setRecordingDirectoryPrependTextE6String"]], "coreservices::setrecordingparentdirectory (c++ function)": [[11, "_CPPv4N12CoreServices27setRecordingParentDirectoryE6String"]], "coreservices::setrecordingstatus (c++ function)": [[11, "_CPPv4N12CoreServices18setRecordingStatusEb"]], "coreservices::updatesignalchain (c++ function)": [[11, "_CPPv4N12CoreServices17updateSignalChainEP13GenericEditor"]], "__init__()": [[58, "init__"]], "add_python_event()": [[58, "add_python_event"]], "handle_spike()": [[58, "handle_spike"]], "handle_ttl_event()": [[58, "handle_ttl_event"]], "process()": [[58, "process"]], "start_acquisition()": [[58, "start_acquisition"]], "start_recording()": [[58, "start_recording"]], "stop_acquisition()": [[58, "stop_acquisition"]], "stop_recording()": [[58, "stop_recording"]]}}) \ No newline at end of file +Search.setIndex({"docnames": ["Developer-Guide/Common-and-external-libraries", "Developer-Guide/Compiling-plugins", "Developer-Guide/Compiling-the-GUI", "Developer-Guide/Creating-a-new-plugin", "Developer-Guide/Distributing-plugins", "Developer-Guide/Modifying-the-host-application", "Developer-Guide/Open-Ephys-Plugin-API/Data-Threads", "Developer-Guide/Open-Ephys-Plugin-API/File-Sources", "Developer-Guide/Open-Ephys-Plugin-API/Processor-Plugins", "Developer-Guide/Open-Ephys-Plugin-API/Record-Engines", "Developer-Guide/Open-Ephys-Plugin-API/Visualizer-Plugins", "Developer-Guide/Open-Ephys-Plugin-API/index", "Developer-Guide/index", "FAQ/index", "Tutorials/Closed-Loop-Latency", "Tutorials/Data-Synchronization", "Tutorials/How-To-Make-Your-Own-Plugin", "Tutorials/Making-Your-Own-Visualizer-Plugin", "Tutorials/index", "User-Manual/Before-you-begin", "User-Manual/Building-a-signal-chain", "User-Manual/Exploring-the-user-interface", "User-Manual/Hardware-requirements", "User-Manual/Installing-the-GUI", "User-Manual/Plugins/Acq-Board-Output", "User-Manual/Plugins/Acquisition-Board", "User-Manual/Plugins/Arduino-Output", "User-Manual/Plugins/Audio-Monitor", "User-Manual/Plugins/Bandpass-Filter", "User-Manual/Plugins/CNN-Ripple", "User-Manual/Plugins/Channel-Map", "User-Manual/Plugins/Common-Average-Reference", "User-Manual/Plugins/Crossing-Detector", "User-Manual/Plugins/Data-Snapshot", "User-Manual/Plugins/Ephys-Socket", "User-Manual/Plugins/Event-Broadcaster", "User-Manual/Plugins/Event-Translator", "User-Manual/Plugins/Falcon-Output", "User-Manual/Plugins/File-Reader", "User-Manual/Plugins/Intan-RHD-USB", "User-Manual/Plugins/LFP-Viewer", "User-Manual/Plugins/LSL-Inlet", "User-Manual/Plugins/Latency-Histogram", "User-Manual/Plugins/MATLAB-Interface", "User-Manual/Plugins/Mean-Spike-Rate", "User-Manual/Plugins/Merger", "User-Manual/Plugins/Multiband-Integrator", "User-Manual/Plugins/NIDAQmx", "User-Manual/Plugins/Network-Events", "User-Manual/Plugins/Neuropixels-CAR", "User-Manual/Plugins/Neuropixels-PXI", "User-Manual/Plugins/OE-FPGA-Acquisition-Board", "User-Manual/Plugins/OSC-Events", "User-Manual/Plugins/Online-PSTH", "User-Manual/Plugins/Phase-Calculator", "User-Manual/Plugins/Phase-Detector", "User-Manual/Plugins/Probe-Viewer", "User-Manual/Plugins/Pulse-Pal", "User-Manual/Plugins/Python-Processor", "User-Manual/Plugins/RHD-Rec-Controller", "User-Manual/Plugins/Rec-Controller-Output", "User-Manual/Plugins/Record-Control", "User-Manual/Plugins/Record-Node", "User-Manual/Plugins/Rhythm-Plugins", "User-Manual/Plugins/Ripple-Detector", "User-Manual/Plugins/River-Output", "User-Manual/Plugins/Spectrum-Viewer", "User-Manual/Plugins/Spike-Detector", "User-Manual/Plugins/Spike-Sorter", "User-Manual/Plugins/Spike-Viewer", "User-Manual/Plugins/Splitter", "User-Manual/Plugins/TTL-Panels", "User-Manual/Plugins/Tracking-Plugin", "User-Manual/Plugins/Virtual-Reference", "User-Manual/Plugins/XDAQ-OE", "User-Manual/Plugins/ZMQ-Interface", "User-Manual/Plugins/index", "User-Manual/Recording-data/Binary-format", "User-Manual/Recording-data/NWB-format", "User-Manual/Recording-data/Open-Ephys-format", "User-Manual/Recording-data/index", "User-Manual/Remote-control", "User-Manual/Whats-new", "User-Manual/index", "index"], "filenames": ["Developer-Guide/Common-and-external-libraries.rst", "Developer-Guide/Compiling-plugins.rst", "Developer-Guide/Compiling-the-GUI.rst", "Developer-Guide/Creating-a-new-plugin.rst", "Developer-Guide/Distributing-plugins.rst", "Developer-Guide/Modifying-the-host-application.rst", "Developer-Guide/Open-Ephys-Plugin-API/Data-Threads.rst", "Developer-Guide/Open-Ephys-Plugin-API/File-Sources.rst", "Developer-Guide/Open-Ephys-Plugin-API/Processor-Plugins.rst", "Developer-Guide/Open-Ephys-Plugin-API/Record-Engines.rst", "Developer-Guide/Open-Ephys-Plugin-API/Visualizer-Plugins.rst", "Developer-Guide/Open-Ephys-Plugin-API/index.rst", "Developer-Guide/index.rst", "FAQ/index.rst", "Tutorials/Closed-Loop-Latency.rst", "Tutorials/Data-Synchronization.rst", "Tutorials/How-To-Make-Your-Own-Plugin.rst", "Tutorials/Making-Your-Own-Visualizer-Plugin.rst", "Tutorials/index.rst", "User-Manual/Before-you-begin.rst", "User-Manual/Building-a-signal-chain.rst", "User-Manual/Exploring-the-user-interface.rst", "User-Manual/Hardware-requirements.rst", "User-Manual/Installing-the-GUI.rst", "User-Manual/Plugins/Acq-Board-Output.rst", "User-Manual/Plugins/Acquisition-Board.rst", "User-Manual/Plugins/Arduino-Output.rst", "User-Manual/Plugins/Audio-Monitor.rst", "User-Manual/Plugins/Bandpass-Filter.rst", "User-Manual/Plugins/CNN-Ripple.rst", "User-Manual/Plugins/Channel-Map.rst", "User-Manual/Plugins/Common-Average-Reference.rst", "User-Manual/Plugins/Crossing-Detector.rst", "User-Manual/Plugins/Data-Snapshot.rst", "User-Manual/Plugins/Ephys-Socket.rst", "User-Manual/Plugins/Event-Broadcaster.rst", "User-Manual/Plugins/Event-Translator.rst", "User-Manual/Plugins/Falcon-Output.rst", "User-Manual/Plugins/File-Reader.rst", "User-Manual/Plugins/Intan-RHD-USB.rst", "User-Manual/Plugins/LFP-Viewer.rst", "User-Manual/Plugins/LSL-Inlet.rst", "User-Manual/Plugins/Latency-Histogram.rst", "User-Manual/Plugins/MATLAB-Interface.rst", "User-Manual/Plugins/Mean-Spike-Rate.rst", "User-Manual/Plugins/Merger.rst", "User-Manual/Plugins/Multiband-Integrator.rst", "User-Manual/Plugins/NIDAQmx.rst", "User-Manual/Plugins/Network-Events.rst", "User-Manual/Plugins/Neuropixels-CAR.rst", "User-Manual/Plugins/Neuropixels-PXI.rst", "User-Manual/Plugins/OE-FPGA-Acquisition-Board.rst", "User-Manual/Plugins/OSC-Events.rst", "User-Manual/Plugins/Online-PSTH.rst", "User-Manual/Plugins/Phase-Calculator.rst", "User-Manual/Plugins/Phase-Detector.rst", "User-Manual/Plugins/Probe-Viewer.rst", "User-Manual/Plugins/Pulse-Pal.rst", "User-Manual/Plugins/Python-Processor.rst", "User-Manual/Plugins/RHD-Rec-Controller.rst", "User-Manual/Plugins/Rec-Controller-Output.rst", "User-Manual/Plugins/Record-Control.rst", "User-Manual/Plugins/Record-Node.rst", "User-Manual/Plugins/Rhythm-Plugins.rst", "User-Manual/Plugins/Ripple-Detector.rst", "User-Manual/Plugins/River-Output.rst", "User-Manual/Plugins/Spectrum-Viewer.rst", "User-Manual/Plugins/Spike-Detector.rst", "User-Manual/Plugins/Spike-Sorter.rst", "User-Manual/Plugins/Spike-Viewer.rst", "User-Manual/Plugins/Splitter.rst", "User-Manual/Plugins/TTL-Panels.rst", "User-Manual/Plugins/Tracking-Plugin.rst", "User-Manual/Plugins/Virtual-Reference.rst", "User-Manual/Plugins/XDAQ-OE.rst", "User-Manual/Plugins/ZMQ-Interface.rst", "User-Manual/Plugins/index.rst", "User-Manual/Recording-data/Binary-format.rst", "User-Manual/Recording-data/NWB-format.rst", "User-Manual/Recording-data/Open-Ephys-format.rst", "User-Manual/Recording-data/index.rst", "User-Manual/Remote-control.rst", "User-Manual/Whats-new.rst", "User-Manual/index.rst", "index.rst"], "titles": ["Common and external libraries", "Compiling plugins", "Compiling the GUI", "Creating a new plugin", "Distributing plugins", "Modifying the host application", "Data Threads", "File Sources", "Processor Plugins", "Record Engines", "Visualizer Plugins", "Open Ephys Plugin API", "Developer Guide", "FAQs", "Measuring Closed-Loop Latency", "Synchronizing Data Streams", "How To Make Your Own Plugin", "Making Your Own Visualizer Plugin", "Tutorials", "Before you begin", "Building a signal chain", "Exploring the user interface", "Hardware requirements", "Installing the GUI", "Acq Board Output", "Acquisition Board", "Arduino Output", "Audio Monitor", "Bandpass Filter", "CNN Ripple", "Channel Map", "Common Average Reference", "Crossing Detector", "Data Snapshot", "Ephys Socket", "Event Broadcaster", "Event Translator", "Falcon Output", "File Reader", "Intan RHD USB", "LFP Viewer", "LSL Inlet", "Latency Histogram", "MATLAB Interface", "Mean Spike Rate", "Merger", "Multi-Band Integrator", "NI-DAQmx", "Network Events", "Neuropixels CAR", "Neuropixels PXI", "OE FPGA Acquisition Board", "OSC Events", "Online PSTH", "Phase Calculator", "Phase Detector", "Probe Viewer", "Pulse Pal", "Python Processor", "RHD Rec Controller", "Rec Controller Output", "Record Control", "Record Node", "Rhythm Plugins", "Ripple Detector", "River Output", "Spectrum Viewer", "Spike Detector", "Spike Sorter", "Spike Viewer", "Splitter", "TTL Panels", "Tracking Plugin", "Virtual Reference", "XDAQ", "ZMQ Interface", "Plugins", "Binary Format", "NWB Format", "Open Ephys Format", "Recording data", "Remote control", "What\u2019s new in version 0.6.x", "User Manual", "Home"], "terms": {"Not": [0, 26], "do": [0, 2, 4, 5, 8, 10, 11, 13, 14, 16, 17, 19, 20, 21, 22, 35, 43, 48, 50, 58, 62, 67, 69, 72, 76, 80], "make": [0, 1, 2, 3, 4, 5, 8, 9, 10, 11, 12, 13, 14, 15, 18, 19, 21, 22, 25, 26, 28, 30, 31, 32, 34, 36, 38, 39, 46, 48, 50, 51, 53, 54, 56, 57, 61, 65, 66, 67, 70, 71, 72, 76, 82, 84], "easier": [0, 16, 17, 21, 50, 71, 76, 82], "new": [0, 1, 4, 5, 6, 7, 8, 9, 11, 13, 15, 19, 20, 21, 22, 23, 25, 30, 32, 34, 39, 47, 48, 51, 53, 56, 58, 62, 64, 66, 67, 68, 70, 72, 76, 80, 81], "featur": [0, 5, 11, 12, 13, 14, 16, 19, 21, 24, 25, 29, 39, 40, 51, 56, 59, 60, 74], "other": [0, 2, 4, 5, 6, 8, 9, 10, 11, 13, 14, 15, 19, 20, 21, 26, 33, 37, 40, 45, 47, 49, 50, 55, 58, 62, 65, 67, 70, 71, 76, 80, 82], "user": [0, 2, 7, 8, 11, 12, 13, 16, 17, 19, 20, 22, 23, 27, 29, 44, 46, 47, 50, 58, 64, 67, 72, 76, 81, 82], "thei": [0, 3, 5, 10, 11, 13, 15, 16, 17, 19, 20, 21, 25, 34, 36, 39, 47, 50, 51, 54, 59, 67, 69, 70, 71, 74, 76, 77, 79, 80, 82], "can": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 30, 33, 36, 37, 38, 39, 41, 42, 43, 45, 48, 49, 50, 51, 53, 55, 57, 58, 59, 60, 62, 64, 65, 66, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 80, 81, 82], "also": [0, 2, 3, 4, 5, 6, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 19, 20, 21, 22, 23, 24, 25, 27, 29, 32, 33, 34, 35, 37, 38, 39, 40, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 64, 65, 66, 67, 68, 69, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 82, 83], "take": [0, 5, 8, 10, 12, 14, 16, 17, 19, 21, 26, 33, 40, 47, 50, 54, 58, 64, 65, 66, 68, 79, 81], "advantag": [0, 8, 10, 15, 19, 78, 79, 82], "wide": [0, 2, 5, 8, 14, 19, 22, 50, 67, 78], "arrai": [0, 6, 8, 9, 11, 16, 17, 19, 28, 58, 77, 78, 80], "c": [0, 5, 10, 12, 16, 17, 21, 23, 25, 37, 39, 50, 51, 58, 78, 82], "without": [0, 4, 8, 11, 15, 22, 23, 34, 40, 50, 53, 62, 67, 69, 70, 76, 80], "ad": [0, 5, 6, 8, 9, 10, 13, 14, 21, 22, 23, 36, 40, 47, 48, 53, 57, 58, 62, 66, 67, 71, 72, 75, 76, 80], "depend": [0, 2, 3, 20, 21, 22, 23, 40, 47, 66, 74, 76, 80], "host": [0, 1, 3, 11, 17, 19, 43, 76, 82], "applic": [0, 1, 2, 3, 11, 13, 16, 17, 19, 21, 23, 40, 56, 62, 76, 81, 82, 84], "while": [0, 1, 4, 6, 8, 9, 11, 14, 15, 17, 19, 20, 21, 23, 27, 34, 35, 36, 38, 43, 47, 50, 56, 62, 65, 66, 76, 81, 82], "ar": [0, 1, 2, 3, 4, 5, 6, 7, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 25, 26, 28, 30, 31, 32, 34, 36, 37, 38, 39, 40, 42, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 62, 64, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 80, 81, 82, 83, 84], "mani": [0, 8, 12, 13, 19, 21, 22, 38, 40, 50, 53, 62, 76], "reli": [0, 1, 19, 21, 22, 48, 58], "open": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 13, 14, 15, 16, 17, 18, 20, 21, 23, 26, 27, 28, 29, 30, 31, 32, 33, 35, 36, 37, 38, 39, 40, 41, 42, 44, 45, 46, 47, 48, 49, 50, 53, 54, 55, 56, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 78, 80, 81, 82, 83, 84], "ephi": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 12, 13, 14, 15, 16, 17, 18, 20, 21, 23, 26, 27, 28, 29, 30, 31, 32, 33, 35, 36, 37, 38, 39, 40, 41, 42, 44, 45, 46, 47, 48, 49, 50, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 78, 80, 81, 82, 83, 84], "api": [0, 3, 8, 16, 17, 50, 53, 56, 62, 63, 81, 82], "juce": [0, 5, 7, 8, 9, 10, 11, 17, 19], "standard": [0, 8, 10, 20, 22, 29, 37, 40, 64, 67, 80], "some": [0, 1, 3, 4, 8, 9, 11, 12, 15, 16, 17, 19, 21, 22, 23, 24, 25, 30, 31, 34, 38, 39, 47, 50, 51, 58, 59, 60, 67, 76, 80, 82, 84], "case": [0, 1, 8, 10, 11, 13, 14, 15, 16, 17, 19, 21, 23, 30, 50, 54, 58, 62, 70, 80], "i": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 80, 81, 82, 84], "essenti": [0, 5, 19, 22, 34], "call": [0, 1, 2, 3, 6, 7, 8, 9, 10, 11, 16, 17, 19, 21, 24, 25, 37, 43, 51, 57, 58, 75, 77], "function": [0, 3, 5, 6, 8, 11, 12, 13, 16, 17, 19, 21, 25, 30, 34, 39, 43, 45, 50, 51, 58, 59, 64, 68, 72, 74, 76, 81, 83], "from": [0, 1, 2, 3, 4, 5, 7, 8, 10, 11, 13, 14, 15, 16, 17, 19, 20, 21, 22, 24, 28, 37, 40, 44, 45, 46, 48, 49, 53, 54, 55, 57, 58, 60, 62, 63, 65, 66, 68, 69, 71, 73, 75, 76, 77, 78, 79, 80, 82, 83, 84], "more": [0, 1, 2, 3, 5, 6, 8, 10, 13, 14, 16, 19, 20, 21, 22, 24, 25, 28, 32, 34, 35, 39, 45, 46, 47, 48, 49, 50, 51, 54, 55, 58, 59, 62, 65, 67, 69, 70, 72, 74, 77, 79, 80, 82, 84], "special": [0, 5, 6, 16, 21, 50, 82], "add": [0, 1, 2, 3, 4, 6, 7, 8, 9, 10, 11, 12, 13, 14, 16, 17, 20, 25, 30, 34, 37, 39, 51, 52, 56, 57, 59, 62, 65, 67, 68, 71, 72, 74, 76, 82], "power": [0, 14, 19, 46, 47, 50], "minim": [0, 6, 14, 82], "extra": [0, 1, 2, 16, 79, 80], "exampl": [0, 3, 4, 5, 6, 7, 8, 9, 14, 15, 16, 17, 19, 20, 21, 26, 28, 30, 31, 32, 34, 37, 38, 40, 41, 43, 45, 46, 47, 49, 50, 52, 53, 56, 57, 58, 62, 65, 67, 74, 81, 82], "libari": 0, "includ": [0, 2, 5, 8, 11, 12, 13, 14, 16, 17, 19, 21, 22, 24, 25, 27, 28, 29, 32, 33, 34, 35, 37, 38, 39, 40, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 52, 53, 54, 56, 57, 58, 59, 60, 62, 64, 65, 66, 67, 68, 71, 72, 73, 75, 76, 77, 80, 82, 83], "neuropixel": [0, 3, 4, 10, 15, 20, 21, 23, 28, 33, 36, 37, 40, 62, 67, 73, 76, 80, 81, 82, 83], "pxi": [0, 3, 4, 10, 15, 20, 21, 22, 36, 37, 40, 49, 56, 76, 80, 82], "zmq": [0, 37, 76], "interfac": [0, 3, 8, 10, 11, 12, 13, 14, 16, 17, 20, 22, 27, 28, 30, 32, 37, 38, 40, 50, 53, 55, 56, 62, 67, 69, 72, 73, 76, 80, 82, 83], "zeromq": [0, 37, 48], "It": [0, 1, 2, 3, 5, 6, 12, 13, 14, 19, 20, 21, 22, 26, 27, 32, 33, 36, 37, 45, 46, 47, 48, 50, 52, 53, 56, 58, 70, 71, 75, 77, 80, 83, 84], "": [0, 1, 2, 3, 4, 5, 6, 7, 9, 10, 11, 13, 14, 15, 16, 17, 19, 20, 21, 22, 24, 25, 26, 28, 29, 30, 32, 33, 34, 35, 36, 37, 38, 39, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 70, 71, 72, 73, 74, 75, 76, 77, 80, 81, 83, 84], "possibl": [0, 1, 2, 5, 8, 10, 11, 12, 13, 14, 15, 16, 17, 19, 20, 21, 25, 31, 36, 37, 38, 39, 40, 45, 47, 48, 50, 51, 53, 55, 58, 59, 65, 66, 70, 71, 74, 76, 79, 80, 82], "creat": [0, 1, 2, 4, 5, 6, 7, 9, 10, 11, 12, 14, 19, 20, 21, 34, 48, 50, 68, 69, 71, 76, 77, 78, 79, 80], "custom": [0, 5, 6, 10, 11, 17, 21, 24, 25, 40, 53, 63, 67, 71, 80, 81], "multipl": [0, 3, 5, 7, 13, 15, 20, 21, 23, 33, 36, 37, 38, 40, 49, 50, 53, 58, 62, 70, 74, 77, 78, 82], "wrap": [0, 32], "an": [0, 1, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 19, 20, 21, 22, 27, 30, 32, 34, 36, 37, 38, 41, 43, 45, 46, 47, 48, 49, 50, 52, 54, 56, 58, 62, 64, 65, 67, 68, 70, 71, 72, 74, 75, 76, 78, 79, 80, 81, 82, 83, 84], "exist": [0, 1, 2, 8, 11, 16, 17, 19, 65, 67], "wai": [0, 4, 6, 8, 12, 13, 14, 15, 16, 17, 19, 20, 21, 22, 30, 45, 56, 57, 58, 70, 71, 76], "gui": [0, 1, 3, 4, 5, 6, 7, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 20, 21, 22, 24, 25, 27, 28, 29, 30, 31, 32, 33, 36, 37, 38, 39, 40, 41, 42, 44, 45, 46, 47, 48, 49, 50, 51, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 80, 82, 83, 84], "These": [0, 1, 3, 8, 11, 16, 17, 20, 21, 22, 26, 28, 31, 34, 40, 46, 47, 50, 58, 67, 72, 76, 80, 81, 82], "specif": [0, 1, 5, 8, 9, 11, 12, 13, 14, 16, 17, 21, 23, 47, 48, 50, 80], "ani": [0, 1, 2, 3, 5, 6, 7, 8, 9, 10, 11, 12, 13, 15, 16, 17, 19, 20, 21, 22, 23, 25, 26, 27, 34, 38, 39, 42, 43, 47, 49, 50, 51, 53, 54, 55, 56, 57, 58, 59, 62, 65, 67, 68, 69, 70, 72, 74, 75, 76, 80, 82], "built": [0, 4, 6, 8, 10, 11, 13, 14, 15, 16, 17, 19, 21, 22, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80], "them": [0, 1, 2, 3, 5, 6, 8, 13, 15, 17, 19, 21, 23, 40, 50, 61, 71, 77, 79, 80, 82], "openephyshdf5lib": 0, "nwb": [0, 3, 7, 9, 38, 62, 76, 80], "format": [0, 3, 5, 6, 7, 8, 9, 12, 15, 20, 30, 38, 50, 53, 56, 76], "openephysfftw": 0, "phase": [0, 14, 24, 25, 26, 32, 38, 50, 56, 59, 60, 76], "calcul": [0, 14, 15, 32, 34, 42, 44, 47, 49, 50, 55, 56, 64, 68, 76], "spectrum": [0, 76], "viewer": [0, 3, 10, 14, 16, 17, 20, 27, 30, 33, 34, 37, 41, 45, 47, 62, 64, 67, 76, 82], "thi": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 30, 32, 35, 36, 37, 38, 39, 42, 43, 44, 45, 48, 49, 50, 51, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 64, 65, 66, 67, 68, 69, 71, 72, 73, 74, 75, 76, 80, 81, 82, 83, 84], "page": [0, 1, 2, 3, 4, 5, 6, 8, 11, 13, 16, 17, 21, 22, 23, 47, 50, 62, 63, 65, 68, 82], "demonstr": [0, 15, 16, 17, 47, 79], "how": [0, 3, 5, 6, 8, 10, 11, 13, 14, 15, 17, 18, 19, 22, 48, 50, 53, 55, 56, 58, 62, 68, 76, 80, 81, 82, 83, 84], "your": [0, 1, 2, 4, 5, 6, 8, 12, 13, 14, 15, 18, 19, 20, 21, 22, 23, 24, 25, 29, 34, 38, 39, 40, 43, 46, 48, 50, 51, 55, 58, 59, 62, 64, 67, 70, 72, 76, 80, 83], "instruct": [0, 1, 3, 4, 7, 11, 16, 17, 23, 43, 45, 50, 56, 58, 65, 72], "assum": [0, 1, 3, 8, 9, 13, 16, 17, 20, 48, 53, 56, 77, 82], "you": [0, 1, 2, 3, 4, 5, 8, 12, 13, 14, 15, 16, 17, 18, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 32, 33, 34, 35, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 62, 64, 65, 66, 67, 68, 69, 71, 72, 73, 74, 75, 76, 77, 78, 80, 81, 82, 83, 84], "have": [0, 1, 2, 3, 4, 5, 6, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 30, 34, 36, 38, 39, 40, 41, 46, 47, 48, 49, 50, 51, 53, 57, 58, 59, 61, 64, 67, 68, 70, 71, 74, 76, 79, 80, 82], "alreadi": [0, 1, 3, 8, 9, 11, 15, 16, 17, 19, 20, 22, 24, 25, 29, 32, 33, 34, 35, 37, 39, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 52, 53, 54, 56, 57, 58, 59, 60, 64, 65, 66, 68, 71, 72, 73, 75, 80, 81], "compil": [0, 5, 11, 14], "If": [0, 1, 2, 3, 4, 5, 6, 7, 8, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 23, 24, 25, 26, 27, 28, 29, 30, 34, 37, 38, 39, 40, 45, 47, 49, 50, 51, 52, 53, 55, 56, 57, 58, 59, 60, 61, 62, 64, 67, 69, 70, 71, 72, 74, 75, 76, 80, 81, 83], "haven": [0, 15, 20, 72], "t": [0, 1, 2, 3, 5, 8, 10, 12, 13, 14, 15, 16, 17, 19, 20, 21, 22, 24, 25, 27, 39, 40, 46, 50, 51, 53, 59, 65, 72, 74, 76, 80], "done": [0, 10, 16, 17, 20, 58, 62], "yet": [0, 11, 17, 21, 34, 46], "follow": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 14, 15, 16, 17, 18, 19, 22, 23, 28, 29, 33, 34, 37, 42, 43, 44, 47, 49, 50, 52, 53, 56, 58, 62, 64, 65, 67, 68, 75, 77, 78, 79, 80, 81, 83], "The": [0, 1, 3, 4, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84], "first": [0, 1, 2, 3, 4, 5, 8, 9, 12, 13, 14, 15, 16, 17, 19, 20, 21, 23, 25, 26, 28, 30, 33, 34, 35, 36, 39, 42, 45, 47, 50, 51, 53, 54, 56, 59, 61, 62, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 77, 78, 80, 82], "step": [0, 1, 2, 3, 4, 5, 17, 19, 20, 22, 50, 53, 64, 75], "repositori": [0, 1, 2, 5, 12, 23, 34, 35, 37, 38, 46, 58, 75, 76, 77, 79, 82], "oecommonlib": 0, "templat": [0, 3, 6, 7, 8, 9, 10, 16, 17, 43, 58], "log": [0, 3, 16, 17, 28, 66], "github": [0, 1, 2, 3, 4, 5, 12, 13, 16, 17, 34, 38, 46, 58, 76, 83], "account": [0, 1, 2, 3, 4, 5, 16, 17, 76], "brows": [0, 3, 16, 17, 20, 21, 24, 25, 28, 29, 32, 33, 34, 35, 37, 38, 39, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 52, 53, 54, 56, 57, 58, 59, 60, 64, 65, 66, 68, 71, 72, 73, 75, 82], "click": [0, 1, 2, 3, 4, 15, 16, 17, 20, 23, 24, 25, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 80], "green": [0, 3, 15, 16, 17, 26, 36, 47, 50, 72, 75, 80], "button": [0, 2, 3, 5, 10, 11, 14, 15, 16, 17, 20, 21, 24, 27, 28, 29, 30, 32, 33, 34, 35, 36, 37, 38, 40, 41, 42, 43, 44, 46, 47, 48, 49, 50, 52, 53, 54, 55, 56, 57, 58, 60, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 75, 76, 80], "choos": [0, 3, 10, 13, 19, 23, 25, 27, 32, 34, 39, 51, 55, 59, 74, 80, 82], "option": [0, 1, 2, 4, 9, 10, 13, 14, 15, 17, 19, 20, 22, 32, 34, 41, 45, 47, 48, 50, 62, 66, 67, 73, 76, 82], "name": [0, 1, 3, 4, 6, 8, 11, 16, 17, 20, 21, 23, 30, 34, 38, 40, 45, 53, 56, 57, 58, 66, 67, 70, 75, 76, 78, 80, 81], "should": [0, 1, 3, 4, 6, 7, 8, 9, 10, 11, 13, 14, 16, 17, 19, 20, 21, 22, 27, 34, 38, 47, 48, 50, 54, 55, 56, 57, 58, 61, 62, 67, 70, 71, 72, 73, 76, 80, 81, 82, 83], "succinctli": [0, 3], "captur": [0, 3, 20, 72], "On": [0, 2, 3, 16, 17, 25, 26, 37, 39, 47, 51, 58, 59, 68, 80], "local": [0, 2, 3, 4, 11, 16, 17, 23, 36, 50, 58, 65, 70, 75, 80, 82], "machin": [0, 2, 3, 16, 17, 21, 22, 23, 37, 52, 56, 72, 81], "oeplugin": [0, 1, 3, 16, 17], "directori": [0, 1, 2, 3, 5, 8, 11, 16, 17, 20, 21, 23, 37, 38, 48, 58, 75, 77, 78, 80, 81, 82], "within": [0, 1, 2, 3, 5, 6, 7, 8, 9, 10, 12, 13, 15, 16, 17, 23, 31, 34, 37, 40, 50, 53, 55, 56, 69, 72, 75, 77, 78, 79, 80, 81, 82], "same": [0, 1, 2, 3, 8, 10, 14, 15, 16, 17, 20, 21, 25, 28, 30, 35, 37, 38, 39, 40, 47, 48, 49, 50, 51, 52, 53, 56, 58, 59, 61, 67, 69, 70, 71, 72, 74, 75, 76, 79, 80, 81], "contain": [0, 1, 3, 4, 5, 6, 7, 8, 9, 10, 14, 15, 16, 17, 20, 21, 25, 34, 35, 38, 39, 41, 47, 50, 51, 52, 53, 59, 67, 68, 72, 74, 75, 76, 77, 78, 79, 80, 81], "Then": [0, 3, 12, 16, 17, 47, 58, 61, 66, 67, 70, 76, 82], "command": [0, 1, 2, 3, 5, 16, 17, 21, 28, 34, 50, 53, 58, 62, 81, 82], "line": [0, 1, 2, 3, 5, 6, 8, 9, 10, 11, 13, 15, 16, 17, 21, 26, 28, 29, 31, 32, 35, 36, 40, 41, 45, 47, 48, 50, 52, 53, 54, 55, 57, 58, 61, 62, 64, 69, 71, 75, 77, 78, 79, 80, 81, 82], "desktop": [0, 1, 2, 3, 5, 16, 17, 56], "app": [0, 1, 2, 3, 5, 16, 17, 21, 23], "clone": [0, 1, 2, 3, 5, 16, 17], "folder": [0, 1, 2, 3, 5, 23, 34, 48, 50, 58], "structur": [0, 1, 3, 16, 17, 21, 38, 77, 78, 79, 81], "look": [0, 1, 3, 5, 8, 12, 13, 14, 16, 17, 25, 30, 34, 39, 40, 50, 51, 56, 59, 67, 69, 74, 76], "someth": [0, 1, 3, 13, 16, 17, 19, 23, 34, 35, 47, 83], "like": [0, 1, 2, 3, 5, 8, 12, 13, 14, 15, 16, 17, 18, 19, 20, 22, 24, 25, 26, 34, 39, 46, 49, 50, 51, 55, 56, 58, 59, 62, 67, 69, 74, 76, 80], "commonlib": [0, 4], "build": [0, 1, 2, 3, 4, 5, 6, 11, 13, 16, 17, 19, 21, 22, 76, 83], "cmakelist": [0, 3, 16, 17], "txt": [0, 3, 16, 17], "cmake_readm": [0, 3, 16, 17], "link_open_ephys_lib": 0, "cmake": [0, 1, 2, 3], "readm": [0, 3, 16, 17, 43], "md": [0, 3, 16, 17], "come": [0, 5, 15, 16, 20, 21, 26, 47, 76, 80], "boilerpl": 0, "start": [0, 1, 2, 3, 5, 6, 7, 9, 10, 11, 13, 14, 15, 16, 17, 19, 20, 21, 26, 28, 34, 36, 38, 41, 43, 47, 48, 50, 52, 53, 56, 58, 61, 62, 67, 72, 75, 76, 77, 78, 79, 80, 82, 84], "write": [0, 5, 8, 9, 11, 12, 13, 15, 18, 22, 43, 53, 62, 78, 80, 83], "after": [0, 4, 6, 9, 14, 15, 16, 17, 20, 24, 25, 26, 29, 30, 32, 34, 36, 39, 47, 50, 51, 53, 56, 58, 59, 60, 62, 64, 68, 72, 74, 75, 79, 80, 82], "chang": [0, 1, 3, 5, 10, 11, 12, 14, 15, 19, 20, 21, 25, 26, 28, 30, 31, 32, 34, 36, 37, 38, 39, 40, 50, 51, 53, 54, 56, 58, 59, 62, 66, 67, 68, 70, 71, 73, 74, 76, 80, 81, 82], "all": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 16, 17, 19, 20, 21, 22, 25, 26, 28, 33, 34, 35, 37, 39, 40, 45, 47, 48, 49, 50, 51, 52, 53, 55, 59, 62, 64, 65, 67, 68, 69, 71, 72, 73, 74, 75, 76, 77, 80, 82, 84], "file": [0, 1, 2, 4, 5, 8, 11, 14, 15, 20, 22, 26, 28, 29, 34, 37, 41, 42, 43, 48, 53, 58, 61, 64, 73, 80, 81], "match": [0, 8, 9, 15, 16, 17, 20, 27, 34, 47, 50, 52, 57, 62, 72], "h": [0, 3, 8, 9, 11, 16, 17, 35, 59], "ifndef": [0, 17], "commonlib_h_includ": 0, "defin": [0, 3, 5, 10, 11, 16, 19, 28, 30, 34, 43, 47, 57, 64, 68, 72, 73, 76, 79, 80], "commonlibhead": 0, "namespac": [0, 16, 17], "commonlibrari": 0, "common_lib": 0, "libraryclass": 0, "public": [0, 4, 13, 16, 17, 19, 29, 50, 64, 72], "endif": [0, 17], "cpp": [0, 4], "For": [0, 1, 2, 3, 4, 7, 8, 13, 14, 15, 16, 17, 21, 24, 25, 28, 31, 34, 35, 37, 38, 40, 41, 46, 47, 50, 51, 53, 56, 57, 62, 67, 68, 73, 74, 75, 76, 77, 79, 80, 81, 82], "everi": [0, 5, 9, 13, 15, 16, 17, 19, 21, 22, 25, 28, 39, 40, 41, 47, 50, 51, 53, 56, 62, 66, 67, 76, 77, 80, 82], "want": [0, 2, 8, 12, 13, 17, 19, 23, 26, 28, 30, 42, 43, 48, 50, 67, 72, 76], "export": [0, 16, 17, 50], "need": [0, 1, 2, 3, 4, 6, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 19, 22, 23, 25, 26, 28, 30, 34, 37, 38, 39, 40, 41, 43, 45, 47, 50, 51, 54, 56, 58, 59, 62, 67, 68, 70, 71, 72, 74, 76, 77, 80], "macro": [0, 11], "declar": [0, 16, 17], "abov": [0, 4, 8, 14, 15, 16, 29, 47, 50, 55, 64, 72, 79], "go": [0, 4, 15, 17, 21, 23, 40, 47, 76], "we": [0, 1, 2, 3, 5, 12, 13, 14, 15, 16, 17, 19, 20, 22, 23, 24, 25, 26, 39, 47, 50, 51, 58, 59, 60, 62, 64, 65, 76, 78, 82], "copi": [0, 1, 3, 6, 8, 10, 21, 80, 82], "script": [0, 2, 5, 13, 34, 43, 48, 58, 65, 72], "repo": [0, 34], "base": [0, 2, 5, 6, 7, 8, 9, 10, 13, 14, 15, 19, 21, 22, 29, 32, 36, 37, 40, 53, 56, 62, 64, 67, 69, 80, 81, 84], "find": [0, 3, 5, 8, 12, 13, 16, 17, 19, 21, 23, 48, 58, 67, 72, 83], "link": [0, 4, 14, 20, 23, 40, 71], "specifi": [0, 1, 2, 4, 5, 8, 9, 10, 11, 16, 17, 24, 25, 46, 48, 50, 52, 53, 55, 59, 60, 62, 71, 72, 75, 77, 82], "when": [0, 2, 5, 7, 9, 10, 11, 12, 13, 14, 15, 16, 17, 19, 20, 21, 23, 24, 25, 26, 29, 33, 34, 35, 36, 37, 38, 39, 40, 41, 45, 46, 47, 50, 51, 52, 53, 57, 58, 59, 60, 61, 62, 65, 67, 71, 72, 73, 74, 76, 77, 78, 80, 82], "run": [0, 1, 2, 4, 8, 11, 12, 14, 21, 22, 23, 34, 37, 47, 50, 52, 56, 57, 61, 62, 64, 65, 72, 74, 80, 81, 82, 83, 84], "plugin_nam": 0, "common_lib_nam": 0, "now": [0, 1, 2, 4, 15, 16, 17, 20, 47, 58, 63, 70, 71, 72, 76, 80, 82, 84], "instal": [0, 1, 2, 3, 4, 7, 9, 14, 15, 20, 21, 26, 38, 62, 80, 82, 84], "load": [0, 3, 5, 7, 9, 11, 14, 16, 17, 20, 21, 22, 25, 29, 35, 39, 51, 59, 73, 74, 76, 77, 79, 80, 82], "symbol": 0, "type": [0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 14, 16, 17, 19, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 54, 55, 56, 57, 58, 59, 60, 61, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 79, 80, 81, 82], "either": [0, 1, 2, 5, 8, 10, 13, 15, 21, 26, 28, 35, 47, 50, 58, 65, 68, 70, 76, 80, 82], "singl": [0, 5, 8, 20, 21, 31, 41, 47, 67, 69, 72, 73, 78, 80, 82], "set": [0, 1, 2, 6, 11, 15, 19, 20, 21, 23, 24, 25, 26, 28, 29, 30, 31, 34, 36, 37, 39, 40, 41, 44, 46, 47, 48, 51, 52, 53, 54, 55, 56, 59, 60, 61, 64, 67, 69, 71, 73, 74, 76, 80], "separ": [0, 1, 3, 5, 6, 10, 15, 16, 17, 19, 21, 27, 37, 47, 50, 53, 62, 63, 67, 70, 71, 72, 76, 77, 78, 80, 81, 82], "packag": [0, 4, 15, 19, 23, 34, 35, 50, 58, 72, 74, 77, 78, 79], "order": [0, 2, 5, 6, 8, 9, 11, 15, 16, 17, 19, 20, 21, 22, 26, 30, 34, 38, 40, 43, 45, 46, 47, 50, 54, 62, 67, 68, 71, 75, 76, 77, 79, 80, 81], "requir": [0, 1, 2, 4, 5, 11, 15, 17, 19, 20, 21, 24, 25, 32, 34, 36, 37, 41, 45, 51, 53, 56, 60, 64, 65, 70, 76, 77, 78, 79, 80, 82], "usual": [0, 6, 7, 8, 10, 13, 17, 29, 45, 50, 58, 68, 76, 82], "sub": [0, 10, 13, 14, 35, 40, 48, 77], "point": [0, 3, 15, 16, 17, 34, 40, 47, 53, 56, 62, 64, 65, 66, 69, 70, 72, 79, 80, 81, 82], "locat": [0, 1, 5, 8, 9, 10, 11, 20, 21, 23, 27, 33, 40, 50, 58, 62, 72, 80, 81], "access": [0, 2, 4, 7, 9, 11, 14, 15, 16, 20, 21, 27, 29, 30, 32, 34, 37, 38, 41, 43, 44, 46, 50, 54, 56, 57, 64, 65, 66, 68, 71, 72, 73, 75, 76, 80, 81, 82], "associ": [0, 6, 8, 10, 16, 17, 21, 24, 25, 38, 39, 50, 51, 56, 57, 58, 59, 71, 72, 74], "besid": 0, "being": [0, 5, 8, 11, 14, 15, 17, 19, 20, 21, 34, 43, 50, 54, 62, 69, 75, 80], "easi": [0, 2, 5, 8, 13, 16, 19, 80], "optim": [0, 13, 29, 54, 64], "effect": [0, 16, 22, 33, 45, 49, 50, 57, 69, 70, 71], "drawback": 0, "howev": [0, 1, 5, 13, 14, 15, 17, 19, 20, 21, 22, 23, 25, 38, 39, 47, 48, 49, 50, 51, 59, 62, 70, 74, 80, 82], "duplic": 0, "longer": [0, 12, 19, 24, 38, 50, 52, 58, 60, 68, 70, 79], "time": [0, 3, 5, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 19, 20, 21, 23, 24, 25, 27, 29, 31, 37, 38, 39, 41, 42, 46, 47, 48, 49, 50, 51, 55, 58, 59, 60, 61, 62, 64, 71, 73, 74, 75, 76, 78, 80, 81, 82], "fact": [0, 14, 19], "recompil": [0, 16, 17], "its": [0, 1, 2, 3, 4, 5, 6, 8, 10, 11, 12, 15, 16, 17, 19, 20, 21, 28, 30, 36, 42, 45, 47, 50, 54, 58, 67, 68, 69, 73, 75, 77, 80], "httplib": 0, "oscpack": 0, "pre": [0, 1, 3, 16, 17, 53, 54, 64, 73], "plu": [0, 19], "linker": 0, "must": [0, 4, 5, 6, 7, 8, 9, 10, 13, 15, 16, 19, 21, 22, 24, 25, 29, 30, 34, 36, 39, 47, 50, 51, 52, 53, 54, 58, 59, 60, 61, 62, 64, 65, 67, 71, 72, 75, 80, 81, 82], "ship": [0, 3, 22, 62], "which": [0, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 16, 17, 19, 20, 21, 23, 24, 25, 27, 29, 31, 32, 35, 36, 37, 39, 40, 42, 45, 46, 47, 48, 49, 50, 51, 52, 54, 58, 59, 60, 62, 64, 65, 67, 68, 69, 70, 72, 75, 76, 77, 80, 81, 82], "runtim": [0, 16, 17, 58], "platform": [0, 1, 4, 5, 19, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 77, 78, 79], "window": [0, 3, 4, 5, 10, 11, 12, 17, 19, 20, 22, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 54, 55, 56, 57, 58, 59, 60, 61, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 84], "lib": [0, 2], "dure": [0, 2, 3, 6, 8, 16, 17, 21, 28, 38, 41, 47, 58, 64, 78], "dll": 0, "linux": [0, 3, 4, 5, 12, 17, 22, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 48, 49, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 77, 78, 79, 84], "object": [0, 5, 6, 7, 8, 9, 10, 11, 14, 17, 58, 76, 77, 78, 79], "so": [0, 2, 3, 4, 5, 7, 8, 11, 13, 15, 16, 17, 19, 20, 22, 23, 25, 30, 34, 39, 40, 46, 47, 49, 50, 51, 54, 58, 62, 64, 66, 67, 69, 76, 78, 80, 81, 82], "maco": [0, 4, 5, 12, 22, 24, 25, 26, 27, 28, 30, 31, 32, 33, 34, 35, 36, 38, 39, 40, 41, 42, 43, 45, 46, 48, 49, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 64, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 84], "dylib": 0, "both": [0, 8, 9, 10, 13, 14, 16, 19, 27, 28, 37, 43, 47, 52, 64, 65, 80], "sinc": [0, 3, 8, 10, 11, 15, 16, 17, 19, 20, 21, 23, 34, 47, 48, 52, 54, 56, 70, 77, 79, 80, 82], "doe": [0, 6, 8, 11, 13, 14, 16, 34, 47, 50, 55, 65, 67, 71, 82], "path": [0, 2, 7, 9, 11, 14, 48, 62, 70, 80], "necessari": [0, 3, 8, 9, 17, 19, 25, 34, 39, 45, 50, 51, 59, 72, 74], "pack": 0, "appropri": [0, 1, 3, 6, 8, 14, 16, 20, 21, 28, 38, 48, 50, 72], "version": [0, 1, 2, 4, 5, 11, 12, 16, 17, 20, 21, 23, 24, 25, 26, 29, 32, 33, 34, 35, 37, 38, 39, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 52, 53, 54, 56, 57, 58, 59, 60, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 75, 76, 77, 79, 80], "alongsid": [0, 47], "manual": [0, 1, 3, 12, 16, 25, 39, 50, 51, 59, 67, 72, 75], "manag": [0, 5, 8, 58, 72], "provid": [0, 5, 6, 7, 8, 10, 11, 13, 14, 15, 16, 17, 20, 22, 33, 47, 50, 58, 71, 80, 82], "just": [0, 1, 2, 3, 4, 6, 8, 19, 20, 21, 22, 23, 45, 80, 84], "To": [0, 1, 2, 6, 7, 8, 9, 10, 11, 13, 14, 17, 18, 20, 21, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 39, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 62, 64, 65, 66, 67, 68, 69, 71, 72, 73, 74, 75, 76, 77, 80, 81, 82], "allow": [0, 1, 2, 3, 5, 6, 7, 8, 10, 12, 14, 15, 16, 17, 19, 20, 21, 22, 23, 24, 25, 27, 29, 32, 33, 34, 35, 37, 38, 39, 41, 42, 44, 46, 47, 48, 49, 50, 51, 52, 53, 54, 56, 57, 58, 59, 60, 64, 65, 66, 68, 71, 72, 73, 74, 75, 76, 80], "librai": 0, "well": [0, 1, 2, 8, 9, 11, 12, 13, 14, 16, 17, 19, 21, 22, 23, 24, 25, 27, 39, 46, 47, 50, 51, 54, 59, 60, 62, 71, 72, 74, 75, 79, 80, 81, 82, 84], "grab": 0, "each": [0, 3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 16, 17, 20, 21, 24, 25, 27, 30, 34, 35, 36, 37, 38, 39, 46, 47, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 80, 81, 82], "support": [0, 1, 2, 3, 12, 13, 15, 18, 19, 21, 38, 47, 67, 74, 75, 76, 78, 80], "place": [0, 5, 8, 9, 16, 17, 24, 25, 27, 33, 37, 45, 46, 47, 48, 50, 52, 53, 55, 59, 60, 62, 64, 67, 68, 70, 75, 80, 81, 82], "plugin_or_common_lib": 0, "bin": [0, 2, 9, 14, 17, 23, 42, 50, 53], "x": [0, 2, 10, 13, 15, 16, 17, 20, 21, 28, 37, 38, 50, 58, 66, 72, 74, 77], "onc": [0, 1, 2, 4, 5, 8, 9, 10, 13, 14, 15, 16, 17, 19, 20, 21, 33, 34, 36, 37, 43, 47, 49, 50, 53, 58, 65, 67, 68, 70, 72, 75, 80], "sure": [0, 1, 2, 4, 8, 10, 12, 14, 16, 17, 19, 22, 26, 28, 29, 30, 31, 34, 46, 50, 54, 55, 56, 57, 61, 62, 64, 66, 67, 72, 76, 80], "abl": [0, 2, 12, 13, 14, 15, 16, 17, 19, 20, 21, 38, 50, 54, 57, 58, 65, 68, 76], "cmake_prefix_path": 0, "msvc": 0, "cmake_current_source_dir": 0, "elseif": 0, "appl": [0, 1, 2], "two": [0, 1, 2, 3, 5, 10, 11, 13, 14, 15, 16, 20, 25, 28, 30, 32, 34, 36, 39, 45, 47, 50, 51, 52, 54, 56, 57, 59, 64, 67, 68, 74, 75, 76, 77, 82], "differ": [0, 1, 2, 5, 8, 10, 11, 14, 15, 16, 17, 19, 20, 21, 24, 25, 28, 31, 32, 33, 37, 38, 39, 40, 46, 47, 50, 51, 53, 55, 57, 59, 67, 71, 74, 75, 76, 79, 80, 81, 82], "most": [0, 1, 3, 5, 8, 13, 14, 15, 17, 19, 21, 22, 40, 47, 50, 62, 76, 77, 80, 82], "commonli": [0, 40], "find_packag": 0, "recommend": [0, 1, 2, 3, 6, 9, 11, 12, 13, 14, 16, 19, 20, 22, 24, 25, 37, 39, 47, 48, 49, 50, 51, 58, 59, 60, 62, 72, 73, 75, 77, 79, 80], "would": [0, 6, 8, 13, 18, 19, 20, 21, 45, 50, 55, 57, 82], "zlib": 0, "target_link_librari": 0, "commonlib_nam": 0, "zlib_librari": 0, "target_include_directori": 0, "privat": [0, 4, 16, 17], "zlib_include_dir": 0, "finder": 0, "find_librari": 0, "find_path": 0, "respect": [0, 28, 34, 42, 50, 69], "search": [0, 13, 47], "varieti": [0, 5, 14, 19, 21, 22, 50, 84], "list": [0, 3, 4, 5, 8, 12, 14, 16, 17, 20, 22, 24, 25, 26, 34, 39, 43, 47, 50, 51, 57, 59, 60, 62, 75, 76, 80, 82], "might": [0, 34, 58], "architectur": [0, 19], "return": [0, 6, 7, 8, 9, 10, 11, 14, 16, 17, 25, 34, 39, 48, 50, 51, 59, 74, 81, 82], "found": [0, 13, 15, 19, 20, 22, 29, 34, 37, 38, 43, 46, 47, 48, 50, 56, 58, 64, 69, 72, 75, 80, 82], "zmq_librari": 0, "libzmq": 0, "v120": 0, "mt": 0, "4_0_4": 0, "zmq_include_dir": 0, "lastli": [0, 17, 20, 76], "expect": [0, 14, 19, 34, 47, 52, 62, 76], "e": [0, 3, 6, 8, 11, 14, 15, 21, 22, 24, 25, 27, 32, 34, 40, 45, 47, 49, 50, 54, 55, 56, 58, 59, 60, 62, 67, 68, 72, 76, 77, 79, 80, 81, 82], "tell": [0, 16], "end": [0, 3, 5, 6, 14, 15, 16, 17, 27, 32, 34, 38, 43, 47, 56, 80, 82], "cmake_library_architectur": 0, "destin": 0, "gui_bin_dir": 0, "env": [0, 58], "home": [0, 21, 58], "api8": [0, 21], "automat": [0, 2, 3, 5, 6, 8, 10, 11, 14, 15, 16, 17, 20, 21, 23, 25, 27, 34, 38, 39, 43, 45, 47, 49, 50, 51, 53, 54, 56, 59, 62, 65, 67, 69, 74, 75, 76, 77, 78, 79, 80, 82], "correct": [0, 8, 14, 16, 32, 50, 54, 56, 61, 62, 66, 67], "whether": [1, 5, 6, 10, 15, 19, 21, 22, 25, 38, 39, 50, 51, 59, 71, 80], "re": [1, 2, 3, 5, 8, 10, 11, 12, 13, 14, 15, 16, 17, 19, 20, 21, 27, 40, 45, 47, 50, 55, 56, 57, 67, 68, 80, 81, 82, 83, 84], "across": [1, 4, 7, 8, 15, 19, 22, 25, 32, 33, 38, 39, 40, 47, 49, 50, 51, 56, 59, 62, 66, 67, 68, 69, 74, 75, 80, 82], "three": [1, 5, 6, 8, 9, 10, 19, 20, 26, 31, 32, 40, 46, 57, 67, 69, 72, 75, 82], "note": [1, 2, 6, 8, 10, 11, 14, 15, 16, 17, 20, 25, 34, 39, 50, 51, 53, 54, 56, 59, 62, 68, 77, 80, 81], "see": [1, 3, 6, 8, 9, 11, 13, 14, 16, 17, 19, 20, 21, 22, 23, 33, 34, 35, 37, 42, 43, 46, 47, 50, 53, 55, 56, 62, 65, 67, 75, 76, 80, 82], "don": [1, 3, 10, 12, 13, 19, 20, 21, 40, 80], "work": [1, 2, 6, 12, 13, 14, 15, 17, 19, 20, 22, 23, 25, 26, 29, 34, 36, 38, 39, 49, 50, 51, 54, 56, 57, 59, 64, 74, 76, 78, 80, 81, 84], "equal": [1, 15, 16, 17, 22, 23, 40, 72, 84], "mac": [1, 2, 3, 23, 26, 44], "librari": [1, 5, 14, 15, 17, 19, 21, 37, 56, 58, 62, 65, 77, 78, 79, 80, 81], "onli": [1, 5, 6, 7, 8, 9, 11, 15, 16, 17, 19, 20, 21, 25, 26, 27, 28, 31, 33, 34, 36, 38, 39, 40, 41, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 62, 64, 65, 66, 67, 68, 69, 70, 72, 73, 74, 75, 76, 80, 81, 82, 84], "certain": [1, 11, 16, 25, 39, 50, 51, 54, 59, 62, 74, 81, 84], "Be": [1, 8, 17, 19, 64, 67], "check": [1, 8, 11, 13, 14, 15, 16, 20, 21, 27, 28, 47, 50, 61, 62, 76, 83], "relev": [1, 3, 5, 7, 9, 10, 16, 17, 45, 55, 61, 77], "document": [1, 3, 4, 6, 11, 12, 16, 21, 23, 24, 25, 37, 51, 62, 63, 67, 68, 72, 74, 78, 80, 81, 83, 84], "compat": [1, 9, 13, 19, 22, 34, 38, 72, 76, 78, 79, 80, 83], "main": [1, 2, 3, 5, 10, 11, 12, 15, 16, 17, 19, 23, 24, 25, 36, 39, 47, 48, 50, 51, 59, 60, 62, 68, 73, 76, 77, 80], "download": [1, 2, 4, 15, 19, 20, 23, 26, 47, 50, 72, 76], "plan": [1, 5, 12, 22, 23, 50, 56, 65, 76], "own": [1, 2, 3, 4, 5, 6, 8, 13, 15, 18, 19, 20, 36, 43, 47, 50, 54, 58, 68, 69, 76, 77, 80, 82, 83], "fork": [1, 2, 4, 5, 76], "via": [1, 2, 3, 5, 6, 7, 8, 9, 11, 12, 14, 15, 16, 17, 19, 21, 22, 24, 25, 26, 27, 34, 39, 50, 51, 52, 53, 57, 58, 59, 60, 62, 72, 75, 76, 80, 81, 82], "origin": [1, 5, 15, 19, 20, 35, 37, 46, 47, 54, 67, 69, 71, 80], "zip": [1, 4], "offici": [1, 2, 12, 13, 19, 76], "level": [1, 2, 5, 12, 13, 14, 15, 19, 21, 31, 50, 64, 78], "befor": [1, 2, 6, 8, 12, 16, 17, 23, 26, 29, 32, 34, 41, 43, 46, 47, 50, 51, 55, 57, 58, 62, 64, 66, 67, 73, 76, 77, 80], "attempt": [1, 7, 14, 21, 34], "1": [1, 3, 6, 8, 9, 10, 14, 15, 16, 17, 20, 22, 25, 26, 28, 29, 30, 31, 34, 35, 36, 37, 38, 39, 40, 41, 43, 46, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 59, 61, 62, 64, 65, 67, 71, 73, 74, 75, 79, 80, 81, 82], "2": [1, 14, 15, 16, 22, 25, 26, 28, 34, 35, 37, 39, 40, 41, 46, 47, 49, 50, 51, 52, 53, 56, 59, 62, 66, 67, 74, 75, 79, 80], "gener": [1, 2, 3, 4, 6, 8, 9, 10, 11, 12, 13, 14, 16, 17, 19, 20, 21, 22, 23, 24, 25, 26, 34, 36, 37, 39, 43, 47, 49, 50, 51, 53, 54, 55, 58, 59, 60, 64, 67, 71, 72, 75, 81, 82], "visual": [1, 2, 5, 8, 11, 12, 14, 16, 18, 19, 21, 23, 27, 32, 33, 37, 45, 50, 53, 57, 58, 65, 68, 69, 72, 73, 82, 83], "studio": [1, 2, 12, 23], "2022": [1, 2, 23, 24, 25, 29, 51, 64, 82], "project": [1, 2, 13, 80], "prompt": [1, 2, 23, 58], "insid": [1, 2, 3, 4, 5, 6, 9, 10, 11, 14, 16, 17, 21, 30, 38, 42, 58, 68, 73, 76, 78, 80], "top": [1, 2, 4, 5, 8, 17, 21, 25, 27, 30, 38, 39, 47, 50, 51, 53, 56, 59, 62, 64, 74, 76, 80], "cd": [1, 2], "g": [1, 2, 3, 6, 8, 10, 11, 14, 15, 21, 22, 24, 25, 27, 34, 40, 45, 47, 50, 54, 55, 56, 59, 60, 62, 67, 68, 72, 76, 79, 80, 81, 82], "17": [1, 2], "A": [1, 2, 6, 7, 8, 9, 10, 11, 15, 17, 21, 22, 25, 29, 37, 38, 39, 41, 42, 45, 47, 50, 51, 56, 57, 58, 59, 62, 64, 66, 67, 70, 72, 74, 75, 76, 77, 80], "x64": [1, 2], "earlier": [1, 2, 50, 70], "substitut": [1, 2, 14, 20, 81], "last": [1, 2, 5, 15, 17, 21, 47, 50, 56, 76], "16": [1, 2, 6, 7, 20, 22, 28, 34, 38, 47, 49, 53, 55, 62, 74, 77, 78, 79, 81], "2019": [1, 2, 19, 23], "15": [1, 2, 17, 28, 50, 55], "2017": [1, 2, 19, 23], "win64": [1, 2], "14": [1, 2], "2015": [1, 2, 23], "12": [1, 2, 15, 16, 25, 28, 39, 49, 51, 59], "2013": [1, 2], "next": [1, 2, 5, 6, 7, 8, 11, 13, 17, 21, 26, 33, 50, 54, 61, 71, 76, 80, 81], "launch": [1, 2, 14, 17, 20, 21, 23, 50, 76, 81, 82], "oe_plugin_": 1, "sln": [1, 2], "wa": [1, 2, 6, 8, 9, 10, 16, 19, 20, 21, 24, 25, 33, 34, 37, 46, 50, 51, 58, 67, 68, 69, 71, 76, 77, 80, 81, 82, 83, 84], "select": [1, 2, 3, 4, 5, 7, 14, 15, 16, 17, 20, 21, 26, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 41, 42, 45, 47, 53, 55, 56, 57, 58, 61, 64, 66, 67, 68, 69, 70, 71, 72, 73, 75, 76, 80, 82], "configur": [1, 6, 7, 8, 11, 16, 17, 19, 21, 22, 47, 76], "debug": [1, 2, 11, 16, 71, 76], "releas": [1, 2, 5, 11, 13, 16, 19, 23, 50, 76, 82], "solut": [1, 2, 19, 47, 49], "all_build": [1, 2], "That": [1, 4, 19, 47, 57, 70], "process": [1, 3, 5, 6, 7, 9, 11, 13, 14, 16, 17, 19, 21, 22, 27, 28, 34, 37, 40, 45, 50, 54, 55, 58, 64, 65, 67, 75, 76, 80, 83], "except": [1, 20, 34, 36, 47, 50, 70, 80], "thu": [1, 74], "trigger": [1, 8, 11, 12, 13, 14, 16, 21, 25, 37, 38, 39, 48, 51, 52, 59, 64, 71, 72, 74, 81, 82], "procedur": [1, 15], "xcode": [1, 2, 12], "final": [1, 2, 9, 14, 16, 17, 20, 21, 50, 58, 64], "period": [1, 2, 64, 75], "critic": [1, 2, 3, 16, 17, 21, 22, 50], "get": [1, 2, 4, 8, 12, 13, 16, 17, 19, 21, 22, 47, 48, 82, 84], "xcodeproj": [1, 2], "live": [1, 2, 3, 5, 10, 21, 37, 50, 63, 84], "scheme": [1, 2, 4, 40, 50, 79, 80], "silicon": [1, 2, 22, 56], "ll": [1, 2, 3, 4, 8, 12, 13, 15, 16, 17, 19, 20, 21, 22, 26, 34, 47, 48, 50, 72, 76], "profil": [1, 2, 22], "us": [1, 2, 3, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 19, 20, 21, 22, 23, 24, 26, 27, 32, 33, 36, 37, 38, 40, 42, 43, 48, 50, 52, 53, 55, 56, 57, 60, 62, 63, 64, 65, 66, 67, 69, 70, 71, 72, 73, 74, 78, 81, 82, 83], "rosetta": [1, 2], "target": [1, 2], "my": [1, 2, 13], "appear": [1, 2, 8, 10, 13, 14, 16, 17, 20, 21, 24, 25, 26, 38, 39, 40, 47, 50, 51, 56, 59, 60, 69, 74, 76, 79], "nativ": [1, 2, 65], "few": [1, 2, 13, 15, 17, 19, 26, 47, 50, 54, 55, 65, 66, 68], "involv": [1, 2, 14, 16, 17, 22, 70, 79], "won": [1, 2, 13, 14, 16, 17, 19, 20, 21, 53, 76, 80], "interest": [1, 2, 4, 12, 13, 17, 50, 55, 67, 71, 72, 83], "pleas": [1, 2, 4, 12, 13, 15, 16, 17, 18, 19, 24, 25, 26, 38, 47, 50, 51, 54, 62, 66, 68, 74, 76, 83], "reach": [1, 2, 14, 15, 19, 38, 40, 46, 47, 55], "out": [1, 2, 3, 8, 12, 13, 14, 15, 17, 19, 20, 21, 23, 25, 32, 34, 39, 40, 47, 49, 50, 51, 56, 58, 59, 64, 67, 74, 76, 80, 81, 83, 84], "org": [1, 2, 12, 13, 15, 18, 22, 38, 50], "info": [1, 2, 3, 6, 7, 8, 11, 12, 13, 16, 17, 21, 34, 35, 50, 56, 76], "default": [1, 3, 5, 6, 7, 8, 9, 11, 14, 15, 16, 17, 21, 24, 25, 29, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 46, 47, 48, 49, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 62, 64, 65, 66, 67, 68, 69, 71, 72, 73, 75, 76, 80, 81], "mode": [1, 2, 10, 11, 16, 20, 25, 35, 39, 51, 59, 64, 66, 72, 73, 76, 81, 82], "modifi": [1, 3, 8, 10, 11, 12, 16, 17, 19, 20, 21, 33, 34, 35, 42, 50, 52, 53, 62, 68, 76, 80, 81], "instead": [1, 2, 3, 6, 8, 10, 11, 19, 24, 45, 58, 60, 65, 68, 69, 71, 73, 76, 80, 82], "makefil": [1, 2, 12], "enter": [1, 2, 4, 23, 40, 53, 58, 65, 72], "unix": [1, 2], "dcmake_build_typ": [1, 2], "variabl": [1, 2, 8, 11, 16, 17, 43, 58], "d": [1, 2, 5, 12, 13, 14, 17, 19, 22, 23, 25, 39, 51, 59], "argument": [1, 2, 8, 11, 28], "perman": [1, 2], "valu": [1, 2, 4, 6, 7, 9, 10, 11, 14, 15, 20, 21, 25, 26, 28, 32, 34, 38, 39, 40, 41, 42, 46, 47, 51, 52, 54, 59, 64, 67, 69, 72, 74, 75, 77, 78, 79, 81, 82], "even": [1, 2, 8, 13, 15, 25, 39, 47, 50, 51, 64, 80], "therebi": [1, 2], "overwrit": [1, 2, 8, 54], "unset": [1, 2], "uvari": [1, 2], "finish": [1, 2, 16, 17, 50], "addit": [1, 3, 5, 6, 7, 8, 9, 10, 11, 12, 15, 17, 18, 20, 21, 22, 25, 32, 39, 43, 47, 50, 51, 54, 56, 59, 62, 68, 70, 71, 73, 74, 75, 76, 77, 82], "sourc": [2, 4, 5, 6, 8, 11, 12, 13, 14, 15, 16, 17, 19, 21, 22, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 58, 59, 60, 61, 62, 64, 65, 66, 67, 68, 69, 70, 71, 73, 74, 75, 77, 78, 80, 82, 83, 84], "code": [2, 6, 7, 8, 9, 11, 12, 14, 16, 17, 19, 20, 21, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 49, 50, 51, 52, 53, 54, 55, 56, 57, 59, 60, 61, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 77, 78, 79, 82, 83], "free": [2, 19, 80], "commun": [2, 4, 5, 6, 8, 12, 13, 14, 19, 21, 23, 25, 26, 34, 39, 50, 51, 52, 59, 76], "edit": [2, 5, 14, 34, 50, 53, 54, 67, 71, 72, 75], "fine": [2, 22, 50, 52, 76, 80], "vs2013": 2, "system": [2, 12, 19, 21, 22, 23, 27, 37, 58, 72, 84], "current": [2, 5, 6, 8, 9, 10, 11, 13, 16, 17, 19, 20, 21, 23, 24, 27, 30, 33, 38, 40, 48, 50, 55, 56, 58, 62, 65, 67, 68, 69, 70, 71, 72, 76, 77, 78, 80, 81, 82, 83], "tool": [2, 13, 14, 19, 35, 50, 68, 78, 79, 80], "plugin": [2, 5, 6, 7, 9, 13, 14, 15, 18, 19, 20, 21, 22, 23, 38, 58, 77, 79, 80, 81, 83, 84], "shortcut": [2, 76], "kei": [2, 4, 5, 14, 19, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 83], "f6": 2, "press": [2, 11, 14, 15, 16, 19, 20, 21, 25, 33, 39, 41, 43, 47, 50, 51, 53, 56, 57, 59, 67, 68, 71, 72, 74, 76, 80], "In": [2, 5, 6, 8, 9, 10, 11, 13, 14, 15, 16, 17, 19, 20, 21, 22, 25, 26, 28, 37, 38, 39, 40, 43, 47, 48, 50, 51, 55, 56, 59, 62, 66, 67, 68, 69, 70, 71, 74, 75, 79, 80, 82], "explor": [2, 50, 80, 83], "right": [2, 4, 5, 10, 12, 13, 14, 15, 16, 17, 19, 20, 21, 25, 27, 28, 30, 31, 32, 38, 39, 40, 42, 45, 47, 50, 51, 53, 55, 56, 57, 62, 65, 67, 68, 69, 70, 71, 73, 80], "startup": [2, 21, 50], "debugg": 2, "store": [2, 5, 7, 8, 9, 10, 11, 13, 17, 19, 21, 22, 28, 38, 48, 50, 58, 62, 78, 80, 81, 82], "termin": [2, 6, 14, 15, 21, 23, 37, 47, 58, 70, 79], "o": [2, 5, 14, 16, 17, 22, 25, 26, 50, 51, 59, 74], "10": [2, 14, 15, 16, 17, 22, 29, 37, 38, 50, 55, 58, 62, 64, 79], "7": [2, 22, 46, 50, 67, 79], "later": [2, 8, 10, 17, 20, 52, 67], "sudo": [2, 23], "content": [2, 8, 21, 82], "readi": [2, 4, 8, 16, 47, 50, 58, 64], "hit": [2, 14, 21], "drop": [2, 5, 16, 17, 20, 21, 23, 25, 26, 27, 34, 37, 38, 39, 45, 47, 50, 51, 54, 56, 58, 59, 61, 64, 65, 66, 68, 70, 74, 76], "down": [2, 15, 16, 17, 20, 25, 26, 27, 34, 37, 38, 39, 47, 50, 51, 54, 56, 59, 64, 66, 68, 71, 74, 76, 80, 81], "menu": [2, 14, 15, 16, 17, 20, 25, 26, 27, 34, 37, 38, 39, 40, 45, 47, 50, 51, 54, 55, 56, 59, 62, 64, 66, 67, 68, 71, 72, 74, 76, 81, 82], "stop": [2, 5, 6, 9, 10, 11, 14, 15, 17, 20, 21, 29, 34, 38, 40, 47, 48, 58, 61, 62, 67, 77, 78, 80, 82], "ubuntu": 2, "debian": [2, 23], "distribut": [2, 7, 14, 19, 32, 62, 76, 80], "apt": 2, "updat": [2, 3, 4, 5, 7, 10, 11, 15, 16, 20, 34, 38, 40, 41, 42, 53, 56, 58, 62, 66, 71, 76, 77, 80, 81, 82], "resourc": [2, 5, 13, 16, 17, 20, 34, 38, 48, 75, 82], "install_linux_depend": 2, "sh": 2, "acquisit": [2, 3, 5, 6, 9, 10, 11, 13, 14, 15, 16, 17, 19, 20, 21, 23, 26, 34, 36, 38, 39, 40, 41, 43, 47, 48, 53, 54, 56, 58, 59, 61, 62, 63, 66, 67, 68, 71, 74, 75, 76, 77, 78, 80, 82, 83], "board": [2, 13, 14, 20, 21, 23, 26, 34, 47, 50, 59, 60, 63, 74, 76, 80, 81, 82, 83], "cp": [2, 23], "40": [2, 14, 17, 23, 38], "rule": [2, 23], "etc": [2, 11, 23, 25, 34, 39, 50, 51, 57, 59, 76, 80], "udev": [2, 23], "servic": [2, 23], "restart": [2, 23, 35, 50], "fedora": [2, 23], "cento": [2, 23], "distro": [2, 22], "udevadm": [2, 23], "conrol": 2, "reload": [2, 21, 23, 50, 58], "success": [2, 7, 26, 27, 55, 76], "binari": [2, 3, 5, 7, 9, 15, 20, 38, 50, 62, 76, 80, 81, 82], "primari": [3, 11, 16, 48, 71, 76], "mean": [3, 13, 15, 19, 34, 38, 40, 47, 50, 56, 58, 62, 64, 71, 76, 79, 80], "extend": [3, 6, 11, 12, 16, 17, 19, 40, 43, 84], "hardwar": [3, 5, 8, 11, 13, 19, 20, 21, 24, 25, 36, 39, 51, 54, 59, 60, 62, 71, 74, 80, 82], "incom": [3, 5, 6, 7, 8, 9, 11, 14, 16, 17, 20, 30, 32, 33, 35, 36, 37, 40, 45, 47, 50, 52, 54, 55, 57, 61, 64, 66, 68, 69, 71, 72, 73, 75, 76, 80], "real": [3, 5, 7, 9, 10, 14, 15, 17, 19, 25, 37, 39, 41, 42, 46, 49, 51, 58, 59, 62, 74, 76, 80], "displai": [3, 5, 8, 11, 12, 14, 17, 20, 21, 27, 33, 45, 49, 50, 56, 62, 64, 73, 74, 76, 80, 81, 82], "output": [3, 5, 8, 11, 14, 15, 16, 21, 29, 32, 34, 45, 46, 47, 48, 50, 54, 64, 70, 72, 76, 81], "read": [3, 6, 7, 8, 9, 12, 14, 15, 19, 20, 21, 22, 23, 34, 37, 50, 58, 62, 64, 71, 76, 80, 82, 84], "still": [3, 14, 15, 20, 34, 47, 50, 58, 82], "datathread": [3, 6], "multi": [3, 14, 32, 35, 68, 75, 76], "band": [3, 14, 15, 28, 32, 47, 50, 64, 76], "integr": [3, 14, 19, 32, 50, 56, 76], "probe": [3, 15, 20, 28, 33, 37, 40, 47, 62, 67, 73, 76, 80, 81, 82, 83], "show": [3, 5, 10, 14, 17, 20, 21, 37, 38, 40, 41, 43, 45, 46, 47, 48, 49, 50, 54, 62, 64, 66, 68, 72, 75, 76], "inform": [3, 4, 5, 6, 7, 8, 9, 10, 11, 15, 16, 17, 20, 21, 34, 37, 40, 45, 47, 49, 50, 56, 58, 62, 65, 75, 76, 77, 78, 79, 80, 82, 83, 84], "about": [3, 5, 6, 7, 8, 9, 11, 12, 13, 14, 16, 17, 20, 21, 22, 23, 33, 34, 40, 47, 49, 50, 55, 56, 62, 72, 75, 76, 77, 79, 80, 82, 83], "doesn": [3, 5, 8, 15, 16, 17, 19, 22, 24, 25, 39, 51, 59, 74], "word": [3, 71, 75, 77, 82], "code_directori": [3, 16, 17], "newplugin": 3, "prefer": [3, 11, 13, 16, 17, 82], "text": [3, 8, 9, 10, 11, 16, 17, 21, 25, 28, 37, 39, 48, 50, 51, 56, 59, 62, 67, 71, 74, 76, 78, 79, 81, 82], "editor": [3, 5, 6, 11, 14, 15, 20, 21, 25, 27, 29, 30, 31, 32, 33, 37, 38, 39, 42, 43, 44, 45, 46, 47, 49, 50, 51, 52, 53, 54, 55, 56, 57, 59, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 80, 82], "implement": [3, 6, 7, 8, 9, 10, 15, 16, 17, 19, 31, 35, 81, 82], "method": [3, 6, 7, 9, 11, 19, 43, 54, 58, 67, 77, 79, 81], "genericprocessor": [3, 5, 6, 10, 11, 16, 17, 43], "repeatedli": [3, 8], "loop": [3, 16, 17, 18, 19, 21, 22, 24, 37, 55, 57, 60, 62, 64], "ha": [3, 4, 5, 6, 7, 8, 9, 10, 11, 13, 14, 15, 16, 17, 19, 20, 21, 24, 25, 28, 30, 36, 37, 39, 40, 43, 45, 47, 50, 51, 53, 55, 56, 58, 59, 62, 64, 65, 67, 69, 70, 71, 74, 75, 76, 77, 80, 81, 82, 84], "chanc": 3, "respond": [3, 6, 14, 15, 48, 57, 61, 71, 81], "canva": [3, 8, 16], "one": [3, 5, 6, 7, 8, 9, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 25, 27, 28, 31, 33, 34, 37, 38, 39, 46, 47, 48, 50, 51, 52, 53, 54, 55, 56, 58, 59, 61, 62, 67, 69, 70, 71, 72, 73, 74, 75, 76, 79, 80, 81, 82], "processorpluginlibrari": 3, "whenev": [3, 5, 8, 9, 10, 11, 16, 17, 19, 20, 25, 32, 37, 39, 40, 45, 48, 50, 51, 59, 61, 67, 72, 76, 80], "libvers": [3, 16, 17], "0": [3, 6, 7, 8, 13, 14, 15, 16, 17, 20, 21, 22, 23, 26, 28, 29, 30, 31, 34, 35, 37, 38, 40, 46, 47, 48, 49, 50, 52, 53, 55, 56, 57, 58, 62, 65, 66, 67, 68, 69, 72, 75, 77, 79, 80, 81], "filter": [3, 4, 5, 8, 14, 16, 17, 20, 21, 27, 29, 30, 31, 32, 33, 34, 43, 44, 46, 48, 49, 50, 52, 54, 55, 58, 62, 64, 66, 67, 68, 70, 71, 72, 73, 76, 80, 81, 82], "sink": [3, 4, 5, 8, 14, 16, 17, 20, 21, 24, 26, 33, 34, 35, 37, 40, 42, 53, 56, 57, 64, 65, 66, 69, 70, 71, 72, 75, 76], "replac": [3, 16, 17, 56, 72, 82], "processorplugin": [3, 8, 16, 17], "class": [3, 6, 7, 8, 9, 10, 11, 16, 17, 19, 43, 58], "creator": [3, 16, 17], "createprocessor": [3, 16, 17], "acquir": [3, 5, 13, 14, 15, 19, 21, 22, 24, 25, 34, 37, 39, 48, 50, 51, 56, 59, 60, 62, 63, 72, 81, 82, 84], "becaus": [3, 8, 10, 11, 14, 16, 17, 19, 21, 23, 24, 25, 31, 33, 39, 49, 51, 54, 59, 60, 62, 69, 71, 74, 77, 82], "sychron": 3, "comput": [3, 5, 14, 15, 20, 21, 25, 26, 31, 34, 37, 39, 50, 51, 56, 57, 59, 62, 66, 72, 76, 80, 81, 82], "clock": [3, 5, 6, 11, 15, 21, 36, 47, 62, 80, 82], "directli": [3, 5, 15, 16, 17, 20, 25, 27, 39, 47, 51, 57, 59, 62, 74, 80], "buffer": [3, 6, 7, 8, 9, 11, 14, 15, 16, 17, 21, 32, 34, 36, 53, 54, 58, 62, 67, 70, 75, 76, 80], "becom": [3, 10, 15, 19, 22, 50, 62, 76, 80], "avail": [3, 4, 5, 6, 7, 8, 9, 11, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 29, 34, 37, 38, 40, 41, 45, 47, 48, 50, 51, 53, 56, 62, 65, 67, 69, 72, 75, 76, 77, 79, 81, 82, 84], "signal": [3, 5, 10, 11, 13, 15, 16, 17, 19, 22, 24, 25, 26, 27, 28, 29, 31, 33, 34, 37, 38, 39, 41, 46, 47, 48, 50, 51, 52, 53, 57, 58, 59, 60, 61, 65, 66, 71, 72, 74, 75, 76, 80, 82, 83], "chain": [3, 5, 10, 11, 13, 15, 16, 17, 19, 22, 24, 25, 26, 27, 33, 34, 37, 39, 40, 41, 46, 48, 50, 51, 52, 53, 57, 58, 59, 60, 61, 62, 65, 71, 72, 75, 76, 80, 82, 83], "datathreadlibrari": 3, "datathreadclassnam": 3, "createdatathread": 3, "By": [3, 7, 9, 15, 20, 21, 30, 33, 34, 36, 38, 40, 47, 50, 53, 55, 62, 66, 67, 69, 80], "recordenginelibrari": 3, "recordengin": [3, 4, 5, 9], "createrecordengin": 3, "recordengineplugin": 3, "reader": [3, 14, 16, 20, 22, 37, 64, 76, 81, 82], "stream": [3, 5, 6, 8, 9, 11, 13, 14, 16, 17, 18, 19, 20, 21, 33, 35, 38, 45, 48, 49, 52, 54, 56, 57, 58, 62, 66, 69, 70, 73, 75, 76, 77, 78, 79, 80, 81, 83], "variou": [3, 8, 14, 33, 64, 81], "save": [3, 11, 14, 15, 20, 21, 22, 33, 34, 38, 42, 49, 53, 58, 72, 73, 76, 77, 79, 80, 81, 82], "filesourcelibrari": 3, "filesourc": [3, 7], "semicolon": [3, 79], "extens": [3, 7, 9, 76], "csv": [3, 50], "json": [3, 7, 30, 34, 41, 42, 53, 56, 75, 80, 81, 82], "filesourceplugin": 3, "createfilesourc": 3, "altern": [3, 9, 14, 27, 47, 50, 54, 56], "glob_recurs": 3, "src_file": 3, "list_directori": 3, "fals": [3, 6, 7, 8, 11, 16, 17, 58], "source_path": 3, "comment": [3, 17], "test": [3, 5, 13, 14, 16, 17, 19, 20, 22, 23, 26, 34, 37, 38, 46, 47, 57, 64], "been": [4, 6, 7, 8, 9, 10, 11, 13, 14, 16, 17, 19, 20, 21, 22, 25, 30, 36, 37, 38, 39, 43, 46, 47, 50, 51, 53, 58, 59, 62, 64, 65, 68, 70, 74, 76, 80, 82, 84], "made": [4, 19, 34, 50, 65, 68, 76, 82], "through": [4, 5, 8, 12, 13, 14, 16, 17, 19, 20, 21, 22, 23, 25, 36, 39, 47, 48, 49, 50, 51, 52, 55, 56, 59, 61, 62, 64, 68, 70, 76, 77, 80, 82], "vet": 4, "polish": [4, 76], "core": [4, 8, 22, 74, 83], "team": [4, 5, 76], "believ": [4, 19], "share": [4, 11, 13, 15, 16, 19, 21, 36, 45, 47, 49, 50, 62, 69, 71, 78, 80, 82], "touch": [4, 12, 13], "login": 4, "credenti": 4, "ci": 4, "organ": [4, 20, 21, 82], "navig": [4, 21, 56, 80], "secret": 4, "action": [4, 5, 8, 11, 20, 21, 38, 42], "artifactoryapikei": 4, "enabl": [4, 5, 10, 11, 15, 17, 21, 23, 30, 47, 50, 54, 62, 64, 80, 81], "openephi": [4, 34, 38, 56, 62, 79, 81], "jfrog": [4, 23], "io": [4, 23, 41, 52, 65], "administr": 4, "left": [4, 5, 8, 14, 15, 20, 21, 24, 25, 27, 38, 39, 40, 45, 47, 50, 51, 53, 56, 59, 60, 62, 67, 68, 69, 70, 74, 80], "sidebar": 4, "corner": [4, 14, 47, 50, 65, 69], "field": [4, 13, 19, 34, 37, 50, 53, 70, 72, 75, 77, 79, 81], "string": [4, 6, 7, 8, 9, 10, 11, 16, 17, 21, 30, 34, 35, 48, 50, 53, 56, 75, 77, 78, 79, 80, 81, 82], "append": [4, 11, 21, 48, 50, 70, 78, 79, 80, 81], "onlin": [4, 15, 21, 33, 64, 76, 80, 82], "psth": [4, 33, 76, 82], "onlinepsth": 4, "descript": [4, 6, 8, 16, 17, 34, 76, 77, 79], "homepag": 4, "artifact": 4, "actual": [4, 8, 14, 15, 17, 19, 20, 47, 50, 54, 82], "openephyslib": 4, "develop": [4, 5, 8, 10, 13, 16, 17, 19, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 58, 59, 60, 61, 62, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 83, 84], "doc": [4, 24, 25, 51], "deploi": 4, "mention": [4, 47], "importantli": [4, 20], "There": [4, 5, 6, 8, 11, 14, 15, 16, 17, 19, 21, 25, 27, 31, 32, 34, 38, 39, 45, 50, 51, 55, 58, 59, 61, 69, 72, 74, 80], "setup": [4, 5, 14, 15, 19, 47, 58, 64], "tag": [4, 56], "latest": [4, 16, 17, 19, 21, 23, 24, 25, 29, 32, 33, 34, 35, 37, 38, 39, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 52, 53, 54, 56, 57, 58, 59, 60, 64, 65, 66, 68, 71, 72, 73, 75], "commit": [4, 5], "number": [4, 6, 7, 8, 9, 11, 12, 14, 15, 16, 17, 21, 25, 28, 29, 30, 32, 34, 35, 37, 39, 40, 48, 50, 51, 56, 57, 58, 59, 64, 65, 67, 69, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80], "semant": 4, "v": [4, 14, 47, 67, 75], "front": 4, "workflow": [4, 34, 52, 72], "part": [4, 11, 19, 21, 22, 35, 38, 75, 80], "refer": [4, 15, 19, 24, 25, 33, 35, 42, 51, 56, 57, 68, 74, 76, 77, 80, 81, 82], "understand": [4, 11, 12, 15, 19, 67, 68, 83], "proper": [4, 50, 62, 80], "push": [4, 5], "publish": [4, 19], "successfulli": [4, 5, 14, 50, 58, 75], "prior": [4, 5, 8, 13, 20, 24, 25, 30, 36, 39, 47, 49, 50, 51, 59, 60, 62, 64, 67, 76, 81], "welcom": [5, 19, 83], "contribut": [5, 13, 19], "mai": [5, 7, 8, 11, 14, 19, 23, 24, 25, 31, 34, 38, 39, 47, 50, 51, 53, 54, 58, 59, 60, 61, 62, 64, 66, 67, 74, 75, 76, 78, 80, 82], "bit": [5, 7, 16, 17, 34, 71, 77, 78, 79], "knowledg": 5, "than": [5, 6, 10, 13, 17, 19, 20, 21, 22, 24, 26, 28, 37, 38, 40, 45, 46, 47, 49, 50, 51, 52, 54, 60, 62, 67, 76, 80, 82], "aim": [5, 80], "high": [5, 8, 14, 15, 19, 21, 24, 26, 33, 47, 50, 54, 55, 57, 58, 60, 61, 70, 78], "overview": [5, 21, 50], "substanti": [5, 13, 82], "minor": [5, 23], "bug": [5, 13, 19], "fix": [5, 12, 34, 38, 78], "strong": 5, "submit": [5, 12], "issu": [5, 12, 13, 19, 21, 22, 47, 50, 58, 80, 83], "describ": [5, 15, 16, 17, 34, 79], "help": [5, 12, 13, 14, 19, 22, 28, 30, 38, 50, 71, 74, 82], "guid": [5, 13, 14, 16, 17, 20, 83, 84], "direct": [5, 19, 22, 27, 28], "encourag": [5, 13, 16, 19], "choic": [5, 19, 23, 26], "accord": [5, 14, 64, 79, 80], "ensur": [5, 8, 10, 13, 14, 15, 16, 17, 19, 21, 34, 47, 50, 80], "avoid": [5, 10, 16, 20, 47, 50, 58, 62, 70, 77, 82], "redund": [5, 68], "effort": [5, 13], "branch": [5, 17, 21, 23], "git": [5, 16, 17], "checkout": 5, "b": [5, 15, 16, 25, 28, 35, 39, 42, 45, 50, 51, 57, 70], "newbranchnam": 5, "u": [5, 12, 13, 17, 26, 34, 47], "pull": [5, 15, 47, 80], "request": [5, 6, 8, 9, 13, 17, 19, 56, 62, 65, 81], "against": [5, 64], "good": [5, 19, 22, 47, 82], "merg": [5, 15, 17, 21, 37, 38, 45, 70, 82], "master": [5, 47, 50], "regener": 5, "upload": [5, 14, 26, 50], "artifactori": [5, 23], "empti": [5, 11, 14, 17], "where": [5, 8, 9, 11, 14, 16, 17, 19, 20, 21, 23, 30, 34, 50, 58, 62, 64, 80, 82], "up": [5, 6, 8, 14, 15, 19, 21, 22, 23, 25, 27, 28, 29, 34, 37, 39, 45, 47, 50, 51, 53, 56, 65, 67, 69, 71, 74, 75, 76, 80, 82, 84], "jucelibrarycod": 5, "draw": [5, 10, 17], "data": [5, 11, 12, 13, 14, 16, 17, 18, 19, 21, 22, 24, 37, 45, 46, 48, 49, 52, 53, 54, 56, 57, 59, 60, 63, 64, 66, 72, 73, 76, 81, 82, 83, 84], "thread": [5, 8, 10, 11, 16, 17, 78, 80], "sampl": [5, 6, 7, 8, 9, 14, 15, 16, 17, 19, 20, 21, 27, 28, 31, 32, 34, 36, 41, 47, 50, 54, 58, 64, 67, 71, 75, 76, 77, 78, 79, 80, 82], "below": [5, 8, 11, 14, 16, 21, 22, 25, 26, 30, 31, 37, 38, 39, 43, 46, 47, 50, 51, 53, 54, 55, 56, 59, 62, 64, 67, 74, 75, 76, 80], "diagram": [5, 15, 49], "showcas": [5, 19], "interact": [5, 11, 17, 21, 58, 76, 80], "solid": [5, 22], "black": [5, 14, 50], "arrow": [5, 14, 55, 67, 70, 71], "denot": 5, "ownership": [5, 19], "respons": [5, 6, 8, 14, 24, 37, 60], "destroi": 5, "dash": 5, "indic": [5, 8, 11, 14, 16, 20, 21, 25, 34, 35, 38, 39, 40, 50, 51, 56, 59, 62, 64, 67, 70, 74, 77, 78, 80], "precis": [5, 13, 14, 15, 40, 54, 55], "callback": [5, 6, 8, 10, 11, 16, 17, 35], "drive": [5, 22, 62, 80], "red": [5, 14, 20, 21, 40, 62, 67, 69, 72, 75], "flow": [5, 21], "processorgraph": 5, "leav": 5, "disk": [5, 15, 20, 21, 62, 67, 68, 77, 80], "recordnod": [5, 11, 14, 48], "sent": [5, 6, 8, 16, 21, 25, 29, 34, 39, 50, 51, 53, 56, 59, 65, 71, 74, 81], "audio": [5, 14, 19, 20, 21, 67, 76], "monitor": [5, 20, 21, 25, 39, 47, 51, 59, 62, 67, 74, 76, 80], "audionod": 5, "dataviewport": 5, "orang": [5, 15, 36, 50, 69, 80], "messag": [5, 6, 9, 11, 16, 23, 26, 34, 35, 38, 48, 50, 53, 62, 72, 76, 77, 79, 82, 83], "pass": [5, 6, 8, 10, 14, 16, 17, 19, 20, 36, 47, 48, 49, 50, 52, 55, 56, 58, 61, 66, 67, 68, 70, 76, 80, 82], "between": [5, 8, 14, 15, 16, 17, 19, 21, 22, 25, 29, 30, 32, 38, 39, 40, 43, 45, 47, 51, 54, 59, 61, 62, 64, 66, 68, 69, 71, 74, 80], "editorviewport": [5, 47], "especi": [5, 15, 33, 56, 58], "import": [5, 6, 13, 14, 15, 16, 21, 22, 27, 28, 30, 34, 35, 50, 58, 62, 65, 71, 80, 82], "construct": [5, 11, 21], "verifi": [5, 23, 50], "openephysappl": 5, "deriv": [5, 6, 8, 10, 13, 79], "juceappl": 5, "initi": [5, 6, 8, 13, 14, 16, 17, 19, 26, 34, 43, 47, 48, 50, 52, 54, 58, 65, 66, 68], "mainwindow": 5, "much": [5, 13, 14, 19, 22, 25, 34, 39, 49, 50, 51, 59, 82], "els": [5, 16, 17, 19], "central": [5, 76, 80], "uicompon": 5, "audiocompon": 5, "receiv": [5, 11, 13, 15, 17, 21, 24, 25, 28, 30, 36, 41, 42, 53, 58, 59, 60, 64, 65, 67, 71, 75, 80], "state": [5, 8, 10, 11, 12, 14, 16, 17, 22, 30, 35, 38, 48, 50, 52, 58, 61, 71, 73, 75, 77, 78, 80, 81, 82], "handl": [5, 6, 8, 16, 17, 19, 21, 38, 48, 55, 82], "compon": [5, 10, 14, 19, 46, 68, 72], "card": [5, 14, 21, 22, 27, 47, 50, 76], "audiodevicemanag": 5, "effici": [5, 28, 77, 82], "audioprocessorgraph": 5, "five": [5, 11, 16, 17], "region": [5, 22, 56, 72, 76], "controlpanel": 5, "occupi": 5, "cpu": [5, 14, 21, 22, 28], "usag": [5, 9], "meter": [5, 14, 21], "space": [5, 8, 10, 16, 20, 21, 40, 56, 62, 77, 79, 80, 82], "plai": [5, 14, 15, 19, 20, 21, 38, 43, 72], "record": [5, 8, 11, 13, 14, 16, 17, 22, 28, 33, 34, 36, 37, 38, 40, 45, 46, 47, 48, 50, 56, 58, 63, 64, 67, 68, 69, 72, 77, 78, 82, 83], "total": [5, 6, 7, 9, 15, 16, 34, 37, 49, 58, 64, 75, 80], "spent": 5, "processorlist": 5, "sit": 5, "hand": [5, 15, 21, 25, 27, 28, 30, 31, 39, 40, 51, 56, 59, 62, 67, 71], "side": [5, 15, 21, 24, 25, 27, 28, 30, 31, 32, 38, 39, 43, 47, 51, 52, 53, 56, 59, 60, 62, 67, 71, 72], "modul": [5, 21, 22, 25, 30, 39, 47, 48, 50, 51, 52, 59, 61, 72, 74, 80], "drag": [5, 20, 21, 23, 30, 31, 34, 38, 40, 50, 56, 68, 69, 72], "onto": [5, 17, 20, 21, 34, 50, 76], "collaps": [5, 20, 21, 80], "room": 5, "bottom": [5, 10, 11, 15, 20, 21, 27, 38, 40, 45, 53, 64, 69, 73], "graphic": [5, 10, 22, 76], "paramet": [5, 6, 7, 9, 10, 11, 21, 24, 25, 26, 29, 32, 33, 34, 40, 42, 44, 46, 50, 52, 53, 54, 55, 57, 58, 59, 60, 64, 69, 72, 75, 76, 81, 82], "paus": [5, 38, 40, 71], "messagecent": [5, 77], "could": [5, 11, 13, 14, 16, 19, 30, 37, 52, 82], "almost": [5, 47, 84], "tab": [5, 10, 12, 14, 17, 20, 21, 25, 32, 33, 39, 50, 51, 53, 54, 56, 57, 59, 68, 69, 73, 74, 80, 82], "claim": 5, "afford": [5, 19], "incred": 5, "flexibl": [5, 19, 20, 21, 22, 25, 39, 51, 58, 59, 70, 80, 84], "screen": [5, 10, 40], "laptop": 5, "pipelin": [5, 21, 38, 76], "compos": [5, 11], "combin": [5, 13, 14, 19, 50, 53, 71], "feed": 5, "never": [5, 8, 11, 14], "input": [5, 6, 7, 11, 13, 14, 15, 16, 19, 21, 25, 26, 29, 30, 33, 34, 36, 39, 45, 50, 51, 53, 55, 56, 57, 58, 59, 62, 64, 67, 71, 72, 73, 74, 80], "valid": [5, 7, 8, 9, 11, 19, 64, 69], "least": [5, 8, 9, 11, 13, 15, 21, 22, 27, 34, 36, 45, 47, 50, 53, 56, 61, 68, 69, 72, 76, 77, 79, 80, 81, 82], "simpl": [5, 9, 17, 31, 54, 76, 77], "bandpass": [5, 14, 20, 27, 33, 55, 58, 64, 67, 76, 80, 81, 82], "resampl": 5, "complex": [5, 19, 20, 21, 22, 45, 46], "spike": [5, 6, 10, 11, 14, 15, 19, 21, 22, 25, 27, 30, 33, 38, 39, 40, 49, 51, 59, 76, 82], "detector": [5, 10, 14, 17, 20, 24, 25, 26, 27, 37, 46, 59, 60, 62, 69, 76, 80], "rippl": [5, 24, 25, 46, 59, 60, 76], "spindl": [5, 46], "continu": [5, 11, 14, 15, 16, 19, 21, 30, 46, 48, 50, 52, 76, 82], "discret": [5, 21], "event": [5, 6, 11, 12, 13, 14, 15, 16, 19, 21, 24, 25, 37, 38, 40, 46, 47, 50, 54, 57, 59, 60, 72, 76], "send": [5, 6, 16, 17, 21, 25, 26, 34, 37, 38, 39, 48, 50, 51, 53, 56, 58, 62, 76, 82, 83], "outsid": [5, 8, 15, 21, 50, 80], "underli": [5, 8, 17, 19, 20, 45, 76, 80], "network": [5, 21, 37, 52, 75, 76, 82], "digit": [5, 13, 14, 15, 21, 22, 25, 31, 36, 37, 39, 40, 45, 49, 50, 51, 57, 59, 73, 74, 76, 80, 81], "arduino": [5, 15, 24, 37, 47, 54, 60, 64, 72, 76, 80], "util": [5, 11, 16, 21, 27, 36, 45, 61, 70, 76, 82], "perform": [5, 8, 9, 11, 13, 15, 19, 21, 22, 24, 25, 28, 29, 42, 45, 47, 49, 50, 55, 59, 60, 64, 66, 76, 80], "split": [5, 70, 80, 82], "node": [5, 8, 9, 11, 14, 15, 21, 26, 34, 36, 37, 45, 46, 47, 48, 50, 57, 58, 61, 67, 68, 72, 76, 77, 78, 79, 81, 82], "stage": 5, "purpos": [5, 11, 15, 19, 20, 21, 48, 50], "channel": [5, 6, 7, 8, 9, 11, 14, 15, 16, 17, 20, 21, 22, 24, 34, 35, 38, 41, 45, 47, 49, 50, 55, 58, 60, 63, 67, 68, 69, 71, 72, 74, 75, 76, 77, 78, 81, 82], "connect": [5, 6, 7, 15, 16, 17, 20, 22, 25, 26, 30, 34, 35, 36, 37, 39, 43, 45, 51, 52, 54, 56, 57, 59, 62, 64, 65, 70, 74, 75, 76, 80, 81], "data_thread": 6, "databuff": [6, 9], "processor": [6, 11, 15, 20, 22, 24, 25, 26, 37, 39, 40, 43, 47, 50, 51, 53, 54, 56, 59, 60, 62, 75, 77, 79, 80, 82], "devic": [6, 13, 15, 21, 22, 57, 64, 72, 76, 80], "whose": [6, 50], "synchron": [6, 7, 8, 11, 13, 18, 20, 21, 45, 76, 77, 78, 82], "intern": [6, 8, 10, 11, 16, 21, 35, 50, 54, 67, 78], "updatebuff": 6, "further": [6, 43, 47, 54, 71, 77], "arbitrari": [6, 34, 67], "independ": [6, 8, 11, 16, 21, 30, 40, 50, 71], "limit": [6, 10, 11, 13, 14, 21, 23, 32, 33, 51, 62, 69, 73, 77, 78, 79], "64": [6, 25, 39, 51, 59, 71, 74, 77, 78], "ttl": [6, 8, 9, 16, 21, 24, 26, 29, 36, 40, 41, 47, 54, 55, 57, 58, 60, 62, 72, 75, 76, 77, 78, 80, 82], "cannot": [6, 7, 8, 23, 25, 28, 34, 39, 47, 48, 50, 51, 52, 53, 59, 62, 64, 67, 68, 69, 70, 78, 82], "fit": [6, 17, 21, 69, 80], "strongli": [6, 22, 80], "rather": [6, 10, 13, 21, 28, 34, 40, 49, 82], "bool": [6, 7, 8, 9, 10, 11, 16, 17, 58], "addtobuff": 6, "size": [6, 9, 10, 14, 16, 17, 21, 32, 33, 34, 76], "multipli": [6, 31, 66, 73, 77, 79], "per": [6, 8, 9, 16, 17, 22, 34, 38, 47, 50, 56, 73, 80, 82], "true": [6, 7, 8, 10, 11, 14, 16, 17, 30, 35, 43, 53, 58, 65, 69, 80, 81], "intact": [6, 50], "lost": [6, 21, 34], "const": [6, 8, 9, 11, 17], "int": [6, 7, 8, 9, 10, 11, 16, 17, 58], "num_channel": [6, 34, 35, 58, 75], "max_samples_per_channel": 6, "1024": [6, 62, 63, 79], "raw_sampl": 6, "float": [6, 7, 8, 9, 10, 11, 15, 16, 17, 34, 47, 58, 72, 77, 78, 79, 81, 82], "scaled_sampl": 6, "max_samples_per_buff": 6, "int64": [6, 7, 9, 11, 17], "sample_numb": [6, 15, 17, 35, 58, 77, 82], "uint64": [6, 9, 16], "event_cod": 6, "doubl": [6, 9, 14, 17, 19, 20, 23, 40, 53, 62, 67], "timestamp": [6, 9, 11, 14, 15, 21, 47, 62, 77, 78, 80, 82], "totalsampl": [6, 16], "acquisitiondevic": 6, "customdatathread": 6, "readdata": [6, 7], "j": 6, "scale_factor": 6, "downstream": [6, 7, 8, 10, 11, 16, 20, 24, 25, 27, 30, 34, 37, 45, 50, 54, 58, 59, 60, 64, 68, 71, 76, 82], "datastream": [6, 8, 16], "void": [6, 7, 8, 9, 10, 11, 16, 17], "updateset": [6, 8, 16, 17], "ownedarrai": [6, 8, 17], "continuouschannel": [6, 8], "eventchannel": [6, 8, 9, 16], "spikechannel": [6, 8, 17], "sourcestream": 6, "deviceinfo": 6, "configurationobject": 6, "pointer": [6, 8, 9, 10, 11, 16, 17], "regist": [6, 50], "sourcebuff": 6, "clear": [6, 8, 10, 17, 21, 42, 53, 69, 71], "previou": [6, 8, 17, 20, 23, 38, 47, 71, 82], "memeb": 6, "device_stream": 6, "identifi": [6, 8, 9, 16, 17, 47, 64, 75, 76, 78], "30000": [6, 14, 34, 35, 50], "rate": [6, 9, 10, 14, 15, 19, 27, 28, 34, 41, 47, 50, 53, 58, 67, 75, 76, 79, 80], "48000": 6, "getlast": 6, "electrod": [6, 8, 9, 13, 17, 20, 22, 27, 35, 50, 53, 56, 58, 64, 68, 75, 77, 78], "ch": [6, 50], "195": [6, 34], "bitvolt": [6, 77, 78, 79], "scale": [6, 15, 22, 34, 36, 41, 47, 53, 56, 66, 69, 82], "8": [6, 16, 20, 21, 25, 34, 35, 38, 39, 40, 47, 50, 51, 56, 74, 75, 79], "maximum": [6, 7, 8, 16, 17, 28, 37, 56, 66, 73], "complet": [6, 7, 8, 13, 16, 17, 21, 35, 50, 79], "foundinputsourc": 6, "determin": [6, 8, 21, 25, 36, 39, 40, 51, 54, 59, 67, 74, 76, 80], "establish": [6, 34], "otherwis": [6, 8, 17, 56, 69, 80], "startacquisit": [6, 8, 11, 16, 17, 48], "begin": [6, 8, 9, 13, 17, 20, 48, 50, 52, 57, 68, 70, 71, 80], "here": [6, 8, 9, 12, 14, 15, 16, 17, 21, 22, 29, 32, 34, 43, 47, 50, 58, 76, 83], "error": [6, 11, 76], "transfer": [6, 50], "cancel": 6, "startthread": 6, "stopacquisit": [6, 8, 11, 17, 48], "isthreadrun": 6, "signalthreadshouldexit": 6, "waitforthreadtoexit": 6, "500": [6, 17, 22, 53, 66], "virtual": [6, 8, 10, 16, 76], "overriden": 6, "std": [6, 8, 10, 11, 16, 17, 64, 67], "unique_ptr": [6, 16, 17], "genericeditor": [6, 10, 11, 16], "createeditor": [6, 8], "sourcenod": 6, "handlebroadcastmessag": [6, 8, 81], "msg": [6, 53], "restrict": [6, 8, 19, 20, 28, 80, 82], "pars": [6, 8, 80], "handleconfigmessag": [6, 8], "openephyshttpserv": [6, 8, 50], "activ": [6, 7, 8, 9, 11, 12, 13, 14, 15, 17, 21, 23, 27, 28, 30, 31, 34, 36, 38, 40, 44, 47, 48, 53, 55, 56, 57, 58, 62, 66, 67, 68, 71, 76, 80, 81, 82], "sender": 6, "acknowledg": 6, "properli": [6, 8, 9, 11, 16, 17, 19, 50, 77, 80], "broadcastmessag": [6, 8, 11], "broadcast": [6, 8, 41, 53, 76, 82], "file_sourc": 7, "repres": [7, 8, 14, 15, 16, 34, 62, 66, 67, 68, 71, 72, 77, 78, 82], "block": [7, 8, 14, 17, 40, 47, 50, 64, 66, 77, 78, 79, 80], "contigu": [7, 56], "given": [7, 8, 14, 20, 22, 40, 47, 50, 53, 56, 62, 69, 73, 81, 82], "simultan": [7, 14, 15, 20, 22, 23, 27, 36, 38, 40, 49, 50, 65, 67, 77, 78, 79], "merger": [7, 15, 36, 47, 62, 70, 76], "reason": [7, 13, 38, 67, 80], "oebin": [7, 20, 38, 76, 77], "getfullpathnam": 7, "fillrecordinfo": 7, "fill": [7, 10, 17, 34, 62, 80], "infoarrai": 7, "eventinfoarrai": 7, "updateactiverecord": 7, "index": [7, 8, 9, 16, 17, 20, 34, 36, 38, 50, 53, 56, 57, 61, 62, 67, 75, 77, 79, 82], "seekto": 7, "seek": 7, "int16": [7, 9, 34], "nsampl": [7, 9], "temporari": 7, "integ": [7, 8, 11, 15, 34, 52, 71, 77, 78, 79, 82], "hold": [7, 8, 16, 17, 21], "processchanneldata": 7, "inputbuff": 7, "outputbuff": 7, "convert": [7, 11, 14, 17, 25, 34, 39, 40, 49, 51, 59, 77, 78, 79, 80], "unscal": 7, "world": 7, "microvolt": [7, 8, 33, 34, 38, 67, 69, 77, 78, 79], "processeventdata": 7, "eventinfo": 7, "startsamplenumb": 7, "stopsamplenumb": 7, "occur": [7, 8, 14, 15, 17, 30, 34, 40, 50, 73, 77, 78, 80], "rang": [7, 8, 10, 14, 15, 16, 17, 19, 20, 28, 33, 40, 42, 47, 50, 54, 62, 66, 67, 69, 80], "minimum": [7, 8, 11, 14, 16, 22, 28, 32, 37, 56, 64], "extern": [8, 11, 14, 16, 17, 20, 21, 48, 50, 56, 71, 76], "bufer": 8, "typic": [8, 11, 14, 15, 46, 49, 53, 77], "ui": 8, "relat": [8, 11, 21, 48, 58, 76, 81], "processorplugineditor": [8, 16], "parentnod": [8, 16, 17], "desiredwidth": [8, 10, 16], "150": [8, 16, 35], "pixel": [8, 10, 17, 40, 53, 56, 72], "expand": 8, "contract": 8, "parametereditor": [8, 10, 16], "widget": [8, 76], "audioprocessoreditor": 8, "make_uniqu": [8, 16, 17], "modif": [8, 17, 58], "overrid": [8, 10, 11, 16, 17], "upstream": [8, 17, 21, 27, 53, 56, 61, 64, 68, 71, 80], "assign": [8, 21, 50, 68, 69, 75], "uint16": [8, 9, 17, 34], "guarante": [8, 15, 19, 21, 34, 47, 76], "uniqu": [8, 9, 21, 47, 50, 53, 57, 68, 71, 75, 82], "persist": 8, "similar": [8, 13, 14, 19, 21, 50, 82], "track": [8, 10, 12, 16, 17, 43, 76, 80, 82], "stereotrod": [8, 20, 38, 67, 68, 69], "tetrod": [8, 20, 22, 30, 40, 67, 68, 69], "delet": [8, 11, 16, 17, 21, 50, 57, 67, 68, 72, 76], "addttlchannel": 8, "256": [8, 16, 21, 48, 52, 71], "particular": [8, 9, 11, 19, 23, 30, 31, 46, 49, 50, 57, 61, 62, 69, 80], "prevent": [8, 16, 32, 50, 62, 68, 75, 80], "audiobuff": [8, 16, 17, 19], "getglobalindex": 8, "posit": [8, 14, 17, 19, 37, 40, 47, 50], "unit": [8, 53, 56, 68, 77], "adc": [8, 14, 28, 40, 49, 50, 77, 78, 79], "volt": [8, 14, 47, 77, 78, 79], "oper": [8, 9, 12, 14, 19, 21, 22, 28, 34, 46, 49, 52, 69, 70, 72, 82, 84], "queri": [8, 81], "getnumsamplesinblock": [8, 16, 17], "streamid": [8, 9, 17], "basi": [8, 19, 32, 47, 76, 82], "id": [8, 9, 11, 14, 17, 21, 26, 50, 53, 56, 57, 58, 62, 68, 75, 77, 79, 81, 82], "filternod": 8, "sometim": [8, 69, 82], "getreadpoint": 8, "globalchannelindex": 8, "global": [8, 50, 62, 69, 76, 77, 82], "phasedetector": 8, "getwritepoint": 8, "notifi": [8, 16, 17], "checkforev": [8, 16, 17], "respondtospik": 8, "deal": [8, 20, 80], "handlettlev": 8, "ttleventptr": [8, 16], "ttlevent": [8, 16], "rel": [8, 9, 17, 30, 36, 54, 55, 66, 72, 77, 80], "arduinooutput": 8, "handleev": 8, "handlespik": [8, 17], "spikeptr": [8, 17], "full": [8, 11, 22, 38, 40, 62, 67, 80], "waveform": [8, 9, 20, 21, 46, 58, 67, 69, 75, 77, 78, 80], "spikedisplaynod": 8, "flipttlstat": 8, "sampleindex": 8, "lineindex": 8, "255": [8, 58, 79], "setttlstat": 8, "ON": [8, 10, 16, 32, 40, 48, 50, 53, 57, 58, 72, 77, 78, 82], "off": [8, 10, 11, 13, 16, 17, 21, 25, 32, 39, 40, 47, 48, 50, 51, 52, 57, 58, 59, 64, 71, 74, 77, 78, 80, 82], "consecut": [8, 32, 68], "softwar": [8, 11, 12, 13, 14, 19, 21, 25, 39, 48, 50, 51, 53, 56, 59, 62, 80, 82, 83], "imposs": [8, 15], "statu": [8, 21, 26, 47, 81, 82], "remot": [8, 13, 22, 65, 82], "config": [8, 20, 21, 34, 50, 53, 62, 73, 81, 82], "ignor": [8, 25, 28, 32, 39, 50, 51, 52, 59, 81], "param": [8, 16, 17], "coreservic": [8, 11], "sendstatusmessag": [8, 11], "center": [8, 11, 17, 26, 43, 53, 56, 62, 72, 77], "carri": [8, 13, 14, 19], "throughout": [8, 14, 82], "backward": [8, 40], "auto": [8, 11, 16, 17, 69, 80, 81], "second": [8, 9, 14, 15, 16, 20, 25, 26, 29, 32, 34, 35, 38, 40, 42, 45, 47, 50, 51, 52, 54, 59, 61, 62, 64, 65, 66, 68, 74, 75, 77, 78, 79, 80, 82], "safe": [8, 19, 50, 78, 80], "addintparamet": [8, 17], "parameterscop": 8, "scope": [8, 16], "defaultvalu": 8, "minvalu": 8, "maxvalu": 8, "global_scop": [8, 16, 17], "stream_scop": 8, "getparamet": [8, 16, 17], "easiest": [8, 12, 17], "overload": [8, 22], "bracket": 8, "parameter_nam": 8, "getvalu": [8, 16, 17], "result": [8, 17, 19, 30, 40, 46, 47, 50, 56, 58], "segfault": 8, "addtextboxparametereditor": [8, 17], "xpo": 8, "ypo": 8, "box": [8, 25, 28, 39, 51, 56, 59, 67, 71, 74], "horizont": [8, 40, 69], "edg": [8, 14, 17, 19, 40, 50, 56, 61, 80], "vertic": [8, 20, 27, 28, 30, 31, 38, 40, 55, 62, 67, 71], "cut": [8, 19, 25, 28, 39, 50, 51, 54, 55, 59, 74], "parametervaluechang": [8, 16, 17], "situat": [8, 50], "move": [8, 14, 17, 21, 38, 56, 72, 82], "written": [8, 15, 16, 21, 62, 67, 68, 75, 77, 78, 79, 80], "recoveryconfig": [8, 11], "xml": [8, 10, 11, 21, 28, 79, 82], "close": [8, 9, 13, 18, 19, 20, 21, 22, 24, 37, 55, 57, 58, 60, 61, 62, 64, 78], "lastconfig": 8, "memori": [8, 22, 50, 65, 77, 80], "undon": [8, 21], "individu": [8, 20, 21, 27, 36, 40, 50, 53, 62, 69, 71, 76, 77, 78, 79, 80, 82, 83], "savecustomparameterstoxml": [8, 10], "xmlelement": [8, 10], "setattribut": 8, "parameternam": 8, "boolean": [8, 81], "loadcustomparametersfromxml": [8, 10, 16], "previous": [8, 20, 21, 34, 38, 50, 72, 80, 82, 84], "parameter1valu": 8, "getintattribut": 8, "parameter1nam": 8, "parameter2valu": 8, "getboolattribut": 8, "parameter2nam": 8, "getstringattribut": 8, "parameter3nam": 8, "suppli": [8, 14], "record_engin": [9, 62, 81], "straightforward": [9, 11, 19, 48, 65, 80], "getengineid": 9, "openfil": 9, "rootfold": 9, "experimentnumb": 9, "recordingnumb": 9, "immedi": [9, 17, 19, 34, 50, 55, 57, 65, 71, 72, 77, 81], "zero": [9, 20, 25, 26, 34, 39, 40, 47, 51, 52, 54, 59, 74], "experi": [9, 12, 13, 14, 19, 20, 21, 22, 24, 25, 29, 37, 39, 47, 48, 50, 51, 59, 60, 62, 64, 77, 78, 79, 80, 83, 84], "increment": 9, "taken": [9, 21, 50], "closefil": 9, "cleanup": 9, "writecontinuousdata": 9, "writechannel": 9, "realchannel": 9, "timestampbuff": 9, "timestampabuff": 9, "writeev": 9, "eventpacket": 9, "writespik": 9, "electrodeindex": 9, "sort": [9, 22, 40, 49, 53, 56, 58, 75, 76, 77], "writetimestampsynctext": 9, "samplenumb": 9, "sourcesampler": 9, "hz": [9, 11, 15, 17, 34, 36, 46, 47, 50, 54, 56, 64, 80], "npyfil": 9, "intend": [9, 47, 79], "python": [9, 13, 14, 15, 28, 34, 50, 56, 62, 65, 75, 81], "matlab": [9, 13, 15, 21, 28, 47, 56, 62, 76, 80, 81], "npytyp": 9, "constructor": [9, 10, 16, 17], "dimension": 9, "absolut": [9, 11, 13, 30], "metadata": [9, 11, 17, 77, 79, 80], "common": [9, 13, 15, 33, 45, 50, 73, 76, 82], "integerfil": 9, "file1": 9, "basetyp": 9, "floatfil": 9, "file2": 9, "writedata": 9, "size_t": 9, "increaserecordcount": 9, "count": [9, 16, 17, 33, 40, 42, 50, 53, 58, 67, 73, 80, 82], "increas": [9, 17, 21, 69, 75], "amount": [9, 10, 14, 21, 40, 80, 82], "sequentialblockfil": 9, "compact": [9, 77, 80, 82], "often": [9, 19, 21, 26, 71], "dat": [9, 77], "neurosci": [9, 13, 19, 78], "nchannel": 9, "filenam": [9, 79], "startpo": 9, "visualizereditor": [10, 17], "small": [10, 17], "viewport": [10, 11, 15, 17, 20, 45, 47, 50], "along": [10, 13, 14, 16, 17, 21, 38, 40, 69], "estat": 10, "rich": [10, 12], "multichannel": [10, 83], "lfp": [10, 14, 16, 20, 21, 27, 28, 30, 33, 34, 37, 41, 45, 47, 50, 64, 67, 76, 82], "comprehens": 10, "adjust": [10, 21, 31, 38, 41, 47, 56], "cross": [10, 14, 19, 20, 24, 25, 29, 37, 39, 40, 46, 47, 51, 56, 59, 60, 68, 76], "simpli": [10, 12, 13, 20, 28, 30, 47, 50, 54, 76], "upper": [10, 14, 20, 27, 28, 32, 46, 50, 53, 55, 57, 65, 68, 69, 73], "tabtext": 10, "titl": [10, 15, 17], "width": [10, 16, 17, 33, 40, 69, 72], "member": [10, 16, 17, 34], "createnewcanva": [10, 17], "anim": [10, 17, 64], "beginanim": 10, "timer": 10, "disabl": [10, 14, 16, 17, 30, 40, 47, 50, 55, 57, 62, 64, 80, 81], "endanim": 10, "anyth": [10, 15, 17, 20, 21], "pure": 10, "refresh": [10, 17, 41], "cycl": [10, 16, 21, 28, 47, 50, 55], "drawn": [10, 72], "repaint": 10, "paint": 10, "refreshr": 10, "refreshst": 10, "visibl": [10, 11, 12, 16, 27, 40, 62, 67, 69], "propag": [10, 67], "background": [10, 21, 27, 40, 80], "layout": [10, 16, 20, 33, 49], "resiz": [10, 16, 72], "boundari": [10, 40], "inherit": [10, 17, 43, 47, 50, 80], "what": [10, 12, 13, 14, 15, 16, 17, 19, 21, 25, 39, 51, 59, 69, 74, 76, 80], "savevisualizereditorparamet": 10, "attribut": [10, 78], "loadvisualizereditorparamet": 10, "itself": 10, "overridden": [10, 80], "interactiveplot": [10, 17], "2d": [10, 17, 66, 68], "chart": [10, 17], "behavior": [10, 12, 14, 15, 16, 19, 21, 31, 47, 50], "vector": [10, 17, 64], "y": [10, 16, 17, 28, 40, 53, 66, 69, 72], "colour": [10, 17], "white": [10, 40, 69], "0f": [10, 16, 17], "opac": 10, "plottyp": [10, 17], "element": [10, 16, 17, 21, 34], "axi": [10, 17, 25, 39, 51, 53, 59, 66, 69], "color": [10, 33, 38, 40, 49, 53, 56, 66, 69, 72], "dot": [10, 68], "scatter": 10, "bar": [10, 15, 20, 27, 30, 38, 40, 42, 62, 67, 71, 76], "xlabel": [10, 17], "label": [10, 11, 16, 20, 25, 51, 61, 69, 71], "ylabel": [10, 17], "setinteract": [10, 17], "interactiveplotmod": [10, 17], "pan": 10, "zoom": [10, 38, 50, 56], "showxaxi": 10, "showyaxi": 10, "showgrid": 10, "grid": 10, "setbackgroundcolour": [10, 17], "setgridcolour": 10, "setaxiscolour": 10, "ax": 10, "setrang": [10, 17], "xyrang": [10, 17], "consist": [10, 11, 73, 75, 77, 80], "four": [10, 21, 38, 40, 50, 55, 57, 69], "min": [10, 17, 50, 64], "max": [10, 17, 33, 67], "getrang": 10, "And": [11, 16, 17, 22, 50], "rest": [11, 30, 81, 82], "conveni": [11, 19, 80], "plugin_api": [11, 17], "detail": [11, 20, 21, 22, 29, 34, 35, 46, 53, 55, 64, 67, 81], "engin": [11, 13, 16, 17, 64, 80], "cover": [11, 83], "ideal": [11, 19, 21, 22, 27, 80], "logger": 11, "cout": 11, "printf": 11, "print": [11, 16, 35, 50, 76], "consol": [11, 16, 50, 76], "logc": [11, 16], "logd": [11, 16], "arg1": 11, "arg2": 11, "arg3": 11, "sever": [11, 27, 55, 73, 75, 80], "reserv": 11, "loga": 11, "logb": 11, "loge": 11, "cerr": 11, "logf": 11, "logg": 11, "graph": [11, 12, 16, 45, 62], "getguivers": 11, "aspect": [11, 19], "getdefaultusersavedirectori": 11, "getsavedstatedirectori": 11, "although": [11, 21, 46, 65, 72, 80, 84], "saverecoveryconfig": 11, "recoveri": [11, 29, 79], "wish": [11, 62], "crash": [11, 13, 16, 17, 19, 21, 26, 50, 58, 77, 78], "updatesignalchain": 11, "remov": [11, 17, 21, 23, 24, 25, 39, 40, 49, 51, 53, 59, 60, 62, 67, 72, 73, 74, 76, 79, 80, 82], "properti": [11, 43, 46], "highlighteditor": 11, "highlight": [11, 20, 40, 50], "seen": [11, 32, 45, 50], "loadsignalchain": 11, "getacquisitionstatu": 11, "frequent": 11, "setacquisitionstatu": 11, "turn": [11, 15, 16, 21, 26, 36, 47, 50, 67, 75, 80], "getrecordingstatu": 11, "startrecord": [11, 48], "stoprecord": [11, 48], "setrecordingstatu": 11, "getsoftwaretimestamp": 11, "millisecond": [11, 13, 14, 15, 17, 21, 24, 25, 29, 32, 33, 42, 52, 59, 60, 64], "midnight": 11, "jan": 11, "1st": 11, "1970": 11, "utc": 11, "getsoftwaresampler": 11, "ticker": 11, "frequenc": [11, 16, 25, 32, 33, 34, 38, 39, 46, 50, 51, 55, 59, 64, 66, 72, 74, 80], "1000": [11, 14, 16, 17, 22, 38, 79], "getrecordingparentdirectori": 11, "setrecordingparentdirectori": 11, "dir": 11, "affect": [11, 31, 40, 47, 64, 69, 80, 82], "appli": [11, 19, 23, 28, 31, 33, 47, 48, 49, 50, 67, 68, 70, 72, 76, 81, 82], "setrecordingdirectori": 11, "nodeid": 11, "applytoal": 11, "getavailablerecordnodeid": 11, "setrecordingdirectorybasenam": 11, "basenam": 11, "prepend": [11, 34, 48, 80], "date": [11, 17, 21, 48, 78, 81], "getrecordingdirectorynam": 11, "createnewrecordingdirectori": 11, "ndode": 11, "getrecordingdirectoryprependtext": 11, "newli": [11, 16, 17, 58, 76], "getrecordingdirectoryappendtext": 11, "setrecordingdirectoryprependtext": 11, "setrecordingdirectoryappendtext": 11, "allrecordnodesaresynchron": 11, "sync": [11, 13, 15, 36, 47, 50, 62, 80], "section": [12, 17, 19, 20, 40, 50, 56, 62, 68, 80, 81, 82], "meant": [12, 19, 20, 32, 70], "anyon": [12, 19], "gain": [12, 31, 46, 50, 73, 79], "better": [12, 13, 19, 21, 22, 45, 83], "inner": 12, "No": [12, 24, 25, 26, 29, 32, 34, 35, 37, 39, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 52, 54, 56, 57, 58, 59, 60, 64, 65, 66, 71, 72, 73, 74, 75, 78], "matter": [12, 19, 82], "improv": [12, 22, 25, 39, 51, 54, 59, 74], "observ": [12, 19, 47], "unexpect": [12, 16, 19], "keep": [12, 14, 17, 21, 22, 23, 43, 50, 71, 80], "thing": [12, 21, 22, 80, 82], "lower": [12, 14, 28, 34, 40, 46, 50, 54, 62, 69, 82], "neural": [12, 14, 19, 21, 24, 25, 37, 39, 47, 51, 59, 60, 64, 74], "among": [12, 78, 82], "maintain": [12, 30], "familiar": [12, 19, 20, 50], "our": [12, 13, 14, 16, 17, 19], "futur": [12, 13, 19, 54, 76, 81], "tackl": 12, "idea": [12, 19, 43], "hesist": 12, "term": [12, 14, 58, 71], "donat": 12, "m": [13, 14, 16, 17, 29, 32, 33, 37, 44, 48, 53, 58, 64, 65, 66, 67, 76, 77, 78, 80, 81], "worri": [13, 22, 80], "answer": 13, "inquiri": 13, "forum": [13, 83], "post": [13, 14, 53], "question": [13, 19, 22], "yourself": [13, 19, 50], "assist": 13, "email": 13, "fund": [13, 19], "sale": 13, "brain": [13, 14, 19, 22, 49, 50, 56], "u24": [13, 19], "award": [13, 19], "allen": [13, 19], "institut": [13, 19], "robust": [13, 32, 67, 77, 79], "enough": [13, 15, 19, 22, 34, 61, 67], "seriou": 13, "scientif": [13, 19], "research": 13, "collect": [13, 19, 21], "over": [13, 15, 21, 34, 37, 42, 46, 50, 53, 62, 66, 75, 80], "400": 13, "preprint": 13, "major": [13, 82], "journal": [13, 19, 64], "happen": [13, 14, 15, 16, 17, 19, 36, 58, 68], "hour": 13, "uninterrupt": 13, "seem": 13, "benefit": 13, "larg": [13, 32, 38, 50, 67, 80], "fraction": [13, 14, 20, 21, 62, 72], "consid": [13, 19, 22, 29], "roadmap": 13, "As": [13, 15, 19, 34, 40, 50, 56, 58, 68, 69, 80], "nearli": 13, "extrem": [13, 15, 29], "But": [13, 15, 19, 22], "love": 13, "learn": [13, 19, 29, 76, 83], "hesit": 13, "let": [13, 16, 17, 26, 47], "know": [13, 15, 16, 19, 26, 34, 47, 76, 77, 81], "face": [13, 82], "difficult": [13, 19], "analyz": [13, 32, 46, 50, 54, 55], "uninterpret": 13, "parallel": [13, 22, 25, 39, 45, 50, 51, 59, 70, 74, 80], "approach": 13, "http": [13, 21, 23, 34, 48, 50, 53, 56, 62, 81], "server": [13, 21, 34, 48, 50, 53, 62, 81], "anoth": [13, 14, 15, 19, 20, 31, 53, 62, 76, 80], "analog": [13, 14, 21, 22, 25, 39, 40, 49, 50, 51, 59, 74], "sequenc": [13, 54], "align": [13, 14, 15, 21, 47, 50, 80], "offlin": [13, 15, 22, 49, 50, 62, 80], "tutori": [13, 14, 15, 16, 17, 56, 62, 84], "camera": [13, 72], "frame": [13, 72], "led": [13, 14, 72], "view": [13, 16, 40, 42, 53, 54, 56, 65, 68, 69, 70, 72], "bonsai": [13, 19], "video": 13, "human": 13, "eeg": [13, 83], "none": [13, 19, 20, 40, 62, 80, 81], "scalp": 13, "adapt": [13, 14, 15, 19, 25, 39, 51, 59, 72, 74], "openbci": 13, "myself": 13, "foremost": 13, "feedback": [13, 14, 21, 22, 24, 33, 37, 55, 57, 60, 64, 72], "everyon": [13, 19], "subscrib": [13, 35], "someon": [13, 19], "skill": 13, "advoc": 13, "spend": 13, "portion": [13, 19, 45, 54], "happi": 13, "suggest": [13, 18], "supervis": 13, "grant": 13, "gladli": 13, "letter": [13, 80], "licens": 13, "commerci": [13, 50], "under": [13, 15, 17, 19, 82], "gpl": 13, "3": [13, 14, 22, 25, 26, 37, 39, 46, 47, 50, 51, 53, 56, 57, 58, 59, 62, 67, 76, 79, 81], "compani": [13, 19], "scratch": [13, 16, 17, 68], "One": [14, 22, 29, 38, 47, 50, 54], "abil": [14, 17, 22, 50, 65], "reconfigur": [14, 76], "fly": [14, 21, 47], "detect": [14, 15, 21, 25, 30, 32, 33, 34, 38, 39, 40, 46, 47, 49, 50, 51, 54, 55, 57, 59, 68, 70, 74, 75, 77, 78, 79, 80], "salient": 14, "electr": 14, "optic": 14, "stimul": [14, 21, 22, 24, 25, 38, 52, 55, 59, 60, 62, 64, 76], "modular": 14, "natur": 14, "speed": [14, 22, 34], "20": [14, 16, 17, 21, 35, 53, 64, 66], "30": [14, 15, 17, 25, 38, 39, 47, 50, 51, 59, 67, 74], "delai": [14, 32, 37, 47, 82], "reduc": [14, 22, 32, 37, 45, 47, 50, 80], "explain": [14, 16, 17, 82], "nevertheless": 14, "timescal": [14, 40], "paradigm": 14, "deliv": [14, 21, 64, 72], "onset": 14, "seizur": [14, 46], "ongo": 14, "oscil": [14, 24, 25, 38, 59, 60], "threshold": [14, 20, 25, 29, 37, 39, 46, 51, 56, 59, 64, 69, 74, 75], "capabl": [14, 16, 19, 22, 26, 68, 69, 80], "discuss": 14, "tradeoff": [14, 19], "5v": [14, 47, 80], "usb": [14, 21, 22, 26, 50, 57, 63, 72, 76, 82, 83], "cabl": [14, 15, 22, 47, 50], "headstag": [14, 21, 22, 77, 78, 79], "hdmi": [14, 25, 51, 59, 74], "voltag": [14, 33, 40, 47, 54, 56], "uno": [14, 26], "bnc": [14, 25, 39, 47, 51], "femal": 14, "bind": [14, 65], "thorlab": 14, "t1452": 14, "4": [14, 20, 22, 23, 27, 34, 35, 40, 46, 50, 54, 62, 66, 67, 72, 74, 78, 79], "hookup": 14, "wire": [14, 22, 50], "5": [14, 15, 20, 22, 35, 40, 47, 50, 54, 62, 64, 75, 77, 79, 80, 82], "long": [14, 15, 22, 34, 50, 61, 79, 80], "bare": 14, "firmwar": [14, 26], "blink": 14, "sketch": [14, 26], "pin": 14, "0v": 14, "interv": [14, 15, 16, 17, 21, 36, 38, 47, 52, 71, 75, 80, 82], "program": [14, 19, 21, 23, 47, 50], "nation": [14, 15, 22, 50], "instrument": [14, 15, 22, 50], "daq": [14, 47], "cc": 14, "01": 14, "basic": [14, 15, 17, 50, 72], "port": [14, 21, 22, 25, 26, 34, 35, 37, 43, 50, 51, 52, 59, 72, 74, 75, 81, 82], "yellow": [14, 20, 25, 39, 40, 50, 51, 59, 62, 74], "puls": [14, 15, 24, 25, 26, 29, 39, 47, 51, 54, 60, 64, 72, 76, 80, 81, 82], "pal": [14, 24, 54, 60, 64, 72, 76, 82], "sanwork": 14, "firmata": 14, "standardfirmata": [14, 26], "schemat": 14, "2nd": 14, "4th": [14, 67], "13": [14, 37, 46, 47], "ground": [14, 47, 50], "neg": [14, 19, 40, 46, 69], "rhythm": [14, 24, 25, 39, 51, 59, 60, 82], "fpga": [14, 50, 63, 76, 82], "IN": [14, 59], "correspond": [14, 15, 16, 25, 27, 38, 39, 41, 47, 50, 51, 53, 56, 57, 59, 64, 74, 77, 78, 80], "trig": 14, "alwai": [14, 16, 17, 34, 40, 50, 67, 77, 80], "screenshot": [14, 43, 46], "emit": [14, 16, 72, 80], "veri": [14, 16, 21, 22, 23, 33, 55, 67, 70, 79, 80, 82], "short": [14, 47, 50], "coincid": 14, "rise": [14, 32, 40, 50, 61, 80], "shortli": [14, 47], "thereaft": 14, "low": [14, 15, 22, 25, 39, 50, 51, 54, 55, 57, 59, 61, 66, 70, 74], "transit": [14, 15, 16, 34, 47], "pick": [14, 19], "correctli": [14, 15, 34, 58], "minut": [14, 29, 50, 62, 65], "snippet": [14, 33], "plot": [14, 17, 42, 43, 54, 66, 69, 75], "open_ephi": [14, 50], "analysi": [14, 22, 47, 50, 62, 79, 80], "session": [14, 77, 78, 79, 80], "matplotlib": [14, 58], "pyplot": 14, "plt": [14, 17], "df": 14, "datafram": 14, "t_respons": 14, "t_trigger": 14, "hist": 14, "np": [14, 28, 50, 81], "arang": [14, 50], "around": [14, 19, 21, 30, 37, 43, 53, 80, 82], "larger": [14, 19, 21, 32], "henc": 14, "higher": [14, 26, 28, 47, 50, 55, 72, 73], "throughput": 14, "overhead": [14, 33, 70], "exchang": 14, "consum": [14, 65], "smaller": [14, 17, 67], "overal": [14, 19, 21, 45, 50, 56, 72, 82], "transmit": [14, 30, 43, 50, 71], "protocol": 14, "packet": [14, 34, 72], "khz": [14, 15, 25, 38, 39, 47, 50, 51, 59, 67, 74], "transmiss": [14, 50, 65], "ethernet": 14, "pcie": [14, 22, 50], "easili": [14, 19, 22, 30, 33, 45, 50, 67, 80], "control": [14, 15, 20, 22, 23, 27, 32, 47, 54, 63, 69, 72, 76, 80, 82, 83], "panel": [14, 15, 20, 27, 76, 80], "44": 14, "23": 14, "desir": [14, 16, 19, 28, 37, 38, 45, 50, 58, 61, 62, 76, 80], "mind": [14, 21, 71], "caus": [14, 17, 26, 40, 58, 69, 82], "diminish": 14, "due": [14, 21, 30, 34, 50, 62, 73], "try": [14, 16, 23, 26, 57, 65, 80], "unus": [14, 37, 47], "map": [14, 15, 17, 33, 41, 56, 76, 77], "ve": [14, 16, 19, 20, 22, 26, 47, 50], "gotten": 14, "data_stream_16ch_hippocampu": [14, 38], "dataset": [14, 38, 78], "replic": [14, 38], "theta": [14, 38, 56], "siegl": [14, 19, 24, 25, 26, 27, 28, 30, 31, 33, 36, 39, 40, 42, 45, 48, 49, 50, 51, 52, 53, 55, 56, 57, 59, 60, 61, 62, 66, 67, 68, 69, 70, 77, 78, 79], "et": [14, 19, 46, 67], "al": [14, 19, 46, 67], "2014": [14, 19], "asynchron": [15, 19, 31, 47, 80], "ident": 15, "neither": 15, "nor": [15, 16, 34], "exactli": [15, 17, 29, 62, 80], "advertis": [15, 19], "therefor": [15, 21, 30, 37, 47, 49, 50, 55, 56, 67, 69, 70, 71, 80], "nidaq": 15, "accur": [15, 50, 53, 54], "electophysiog": 15, "ten": [15, 19], "kilohertz": 15, "logic": [15, 16, 47, 71], "behind": 15, "approxim": [15, 24, 25, 40, 59, 60], "slightli": [15, 50, 76, 77], "drift": [15, 29], "apart": 15, "cours": 15, "compar": [15, 32], "were": [15, 19, 20, 70, 71, 72, 82], "114": 15, "25": [15, 16, 17, 40, 50, 53], "127": [15, 52, 65], "27": 15, "112": 15, "125": [15, 40], "With": [15, 20], "translat": [15, 76], "vice": 15, "versa": 15, "factor": [15, 41, 47, 82], "ratio": [15, 25, 39, 47, 51, 59, 74], "t_first_a": 15, "t_last_a": 15, "t_first_b": 15, "t_last_b": 15, "100": [15, 16, 17, 31, 32, 33, 40, 64, 65, 66, 76, 79, 80, 81], "98": [15, 56], "0204": 15, "timestamps_b": 15, "timestamps_a": 15, "plug": [15, 57], "02": 15, "111": 15, "96": 15, "round": 15, "nearest": [15, 25, 39, 51, 59, 74], "ones": [15, 26], "piec": [15, 19, 24, 60, 71], "hood": [15, 19, 82], "troubleshoot": 15, "ni": [15, 22, 50, 76], "daqmx": [15, 22, 50, 76], "basest": [15, 22, 47, 80, 82], "rout": [15, 75, 77], "physic": [15, 16, 47, 50, 77, 80], "serv": [15, 50], "sma": [15, 47, 50], "connector": [15, 25, 39, 50, 51, 59], "regular": [15, 16, 17, 21, 36, 52, 80], "ambigu": [15, 77, 80, 82], "accept": [15, 20, 28, 56, 76], "pseudo": [15, 47], "random": [15, 32, 36, 58, 80], "unambigu": [15, 47], "necessarili": [15, 50], "nidaqmx": [15, 22, 50], "insert": [15, 16, 45, 47, 50, 56], "remain": [15, 19, 62], "design": [15, 16, 19, 21, 24, 25, 33, 47, 50, 54, 58, 63, 64, 72, 80, 82, 83, 84], "At": [15, 16, 17, 19, 21, 22], "wait": [15, 34], "until": [15, 17, 19, 34, 40, 62, 75], "v0": [15, 38, 40, 56], "6": [15, 22, 34, 37, 38, 40, 46, 48, 50, 55, 56, 67, 68, 69, 72, 77, 79], "npy": [15, 77, 80, 82], "belong": [15, 21, 30], "equat": [15, 47], "unclear": 15, "customiz": 16, "tradition": 16, "transistor": [16, 47, 71], "circuit": [16, 47], "simplic": 16, "stuck": [16, 17], "ttleventgener": 16, "getlibinfo": [16, 17], "libraryinfo": [16, 17], "codebas": [16, 17], "refus": [16, 17], "mismatch": [16, 17, 50], "apivers": [16, 17], "plugin_api_v": [16, 17], "numplugin": [16, 17], "num_plugin": [16, 17], "getplugininfo": [16, 17], "plugininfo": [16, 17], "switch": [16, 17, 38, 40, 47, 66], "pluginmanag": [16, 17], "openephysplugin": [16, 17], "shown": [16, 17, 30, 40, 41, 47, 56, 62, 69, 80], "factori": [16, 17], "processorpluginspac": [16, 17], "break": [16, 17], "renam": [16, 17, 23, 82], "ttleventgeneratoreditor": 16, "advis": [16, 17], "broke": 16, "alter": [16, 21, 76], "hard": [16, 19, 21, 33], "subsequ": 16, "announc": [16, 23], "ttlchannel": 16, "addprocessor": 16, "processorinfo": 16, "reset": [16, 21, 25, 35, 39, 40, 51, 53, 58, 59, 73, 74, 80], "counter": [16, 80], "care": [16, 58], "getdatastream": [16, 17], "getstreamid": [16, 17], "startsampleforblock": 16, "getfirstsamplenumberforblock": [16, 17], "eventintervalinsampl": 16, "getsampler": [16, 17], "outputlin": 16, "offset": [16, 17, 25, 29, 34, 36, 39, 40, 47, 51, 59, 74, 82], "eventptr": 16, "createttlev": 16, "addev": 16, "flip": 16, "editorhead": 16, "destructor": [16, 17], "assert": [16, 17], "leak": [16, 17], "juce_declare_non_copyable_with_leak_detector": [16, 17], "5000": [16, 17], "tri": [16, 26], "lead": [16, 17, 19, 50], "addfloatparamet": 16, "autom": 16, "50": [16, 17, 21, 40, 47], "180": [16, 54], "addsliderparametereditor": 16, "po": 16, "stringarrai": 16, "addcategoricalparamet": 16, "ttl_line": [16, 53], "addcomboboxparametereditor": 16, "manualtriggerbutton": 16, "listen": [16, 17, 21, 25, 27, 39, 51, 59, 75, 82], "buttonclick": 16, "updateview": 16, "utilitybutton": 16, "triggerbutton": 16, "bound": [16, 21, 46, 56], "font": 16, "fira": 16, "addlisten": [16, 17], "addandmakevis": [16, 17], "setbound": [16, 17], "70": [16, 56], "setnextvalu": 16, "getlabel": 16, "manualtrigg": 16, "getprocessor": [16, 17], "manual_trigg": 16, "addcustomparametereditor": 16, "60": [16, 50], "95": 16, "addstringparamet": 16, "segment": [16, 17], "fault": [16, 17], "header": [16, 77], "shouldtriggerev": 16, "eventwastrigg": 16, "triggeredeventcount": 16, "eventintervalm": 16, "getnam": [16, 17], "equalsignorecas": [16, 17], "enhanc": [16, 21, 27], "practic": [16, 19, 25, 39, 47, 51, 59, 74], "kind": [16, 76], "duti": [16, 47, 80], "too": [17, 21, 32, 34, 54, 61], "208": 17, "drawcompon": 17, "soon": [17, 19, 58, 65, 67], "46": 17, "visualizerplugin": 17, "62": [17, 40], "rateview": 17, "65": 17, "instanc": [17, 37, 58, 65, 72], "visualizerplugineditor": 17, "visualizerplugincanva": 17, "somewher": [17, 80], "struct": [17, 81], "sampler": [17, 28, 64, 79], "isact": 17, "electrodemap": 17, "entri": [17, 65, 79], "spikechan": 17, "isvalid": 17, "combobox": 17, "rateviewereditor": 17, "rateviewereditor_h_defin": 17, "visualizereditorhead": 17, "rateviewercanva": 17, "p": [17, 24, 25, 29, 32, 33, 34, 35, 37, 39, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 52, 53, 54, 56, 57, 58, 59, 60, 64, 65, 66, 68, 71, 72, 73, 75, 76], "200": [17, 33, 67], "addselectedchannelsparametereditor": 17, "105": [17, 35, 56], "210": [17, 35], "comboboxchang": 17, "electrodelist": 17, "120": 17, "selectedstreamhaschang": 17, "getelectrodesforstream": 17, "electrodesforstream": 17, "rateviewernod": 17, "selectedstream": 17, "currentelectrod": 17, "additem": 17, "setselectedid": 17, "sendnotif": 17, "intparamet": 17, "window_s": 17, "bin_siz": 17, "75": 17, "definit": [17, 50, 57, 62], "windows": 17, "binsiz": 17, "extract": [17, 29, 72, 80], "back": [17, 19, 25, 30, 38, 39, 43, 51, 57, 58, 70], "getnumitem": 17, "setactiveelectrod": 17, "gettext": 17, "de": [17, 29, 64, 67], "processor_": 17, "darkslategrei": 17, "800": 17, "blank": [17, 20, 76], "give": [17, 19, 21, 76], "relai": [17, 81], "nullptr": 17, "helper": 17, "setwindowsizem": 17, "windowsize_": 17, "setbinsizem": 17, "binsize_": 17, "setsampler": 17, "setplottitl": 17, "samplerate_": 17, "those": [17, 36, 50, 55, 62, 76, 79], "tile": [17, 77], "addspik": 17, "incomingspikesamplenum": 17, "sample_num": [17, 75], "geteditor": 17, "getcurrentstream": 17, "getchannelinfo": 17, "getsamplenumb": 17, "bring": [17, 21, 25, 28, 39, 45, 51, 53, 59, 67, 69, 71, 74, 76, 80], "fall": [17, 32, 50, 61, 80], "recent": [17, 19, 21, 50, 63], "mostrecentsampl": 17, "setmostrecentsampl": 17, "samplenum": 17, "group": [17, 22, 30, 40, 67, 76, 78, 80, 82], "recomputebinedg": 17, "recomput": 17, "binedg": 17, "spikecount": 17, "insertmultipl": 17, "recount": 17, "countspik": 17, "sampleonlastredraw": 17, "maxcount": 17, "elapsedsampl": 17, "elapsedtimem": 17, "greater": [17, 52], "oldest": 17, "newspikecount": 17, "se": [17, 67], "xy": 17, "updateplotrang": 17, "xmin": 17, "xmax": 17, "ymin": 17, "ymax": 17, "push_back": 17, "lightyellow": 17, "reflect": [17, 71], "measur": [18, 19, 25, 39, 40, 51, 54, 59, 74], "latenc": [18, 22, 58, 76], "hope": 19, "scientist": 19, "experiment": [19, 47, 57], "techniqu": 19, "expertis": 19, "bui": [19, 22], "neuroscientist": [19, 21, 81, 84], "why": [19, 80], "did": [19, 50, 77], "progress": 19, "hinder": 19, "mutual": 19, "incompat": [19, 58], "analogi": 19, "imagin": 19, "lab": [19, 22, 46, 78, 83], "had": [19, 37, 70, 80, 82], "dissemin": 19, "articl": [19, 29, 64], "chosen": 19, "clearli": 19, "fragment": 19, "spread": 19, "less": [19, 21, 36, 80], "freeli": [19, 68, 72], "inacess": 19, "unless": [19, 20, 53, 69, 70, 76, 80, 81], "decid": 19, "profit": 19, "sell": 19, "think": [19, 83], "perfect": 19, "problem": [19, 34, 50], "appeal": 19, "stand": 19, "employe": 19, "cooper": 19, "josh": [19, 24, 25, 26, 27, 28, 30, 31, 33, 36, 39, 40, 42, 45, 48, 49, 50, 51, 52, 53, 55, 56, 57, 59, 60, 61, 62, 66, 67, 68, 69, 70, 77, 78, 79], "jakob": [19, 40], "voigt": [19, 40], "jon": [19, 34], "newman": [19, 34], "promot": 19, "electrophysiologi": [19, 20, 21, 22, 83, 84], "websit": 19, "sold": 19, "brand": 19, "partnership": 19, "product": 19, "site": [19, 21, 24, 25, 33, 51, 74, 84], "portug": 19, "price": [19, 47], "purchas": [19, 24, 25, 50, 51, 63], "monei": 19, "invest": 19, "wider": 19, "ecosystem": 19, "began": [19, 21], "wilson": 19, "mit": 19, "2010": 19, "aar\u00f3n": [19, 24, 25, 38, 39, 45, 48, 51, 59, 60, 61, 70, 77, 78, 79], "cueva": [19, 24, 25, 38, 39, 45, 48, 51, 59, 60, 61, 70, 77, 78, 79], "l\u00f3pez": [19, 24, 25, 38, 39, 45, 48, 51, 59, 60, 61, 70, 77, 78, 79], "hire": 19, "person": 19, "revenu": 19, "extracellular": [19, 20, 21, 83, 84], "met": 19, "criteria": [19, 57], "degre": [19, 55], "isn": [19, 72], "stai": [19, 21, 64], "ahead": 19, "curv": 19, "peer": 19, "underneath": 19, "quickli": [19, 22, 34, 38, 80], "realiz": 19, "foster": 19, "engag": 19, "convinc": 19, "entir": [19, 38, 41, 68, 76, 82], "upgrad": [19, 22, 82], "innov": 19, "microsoft": 19, "net": [19, 34], "framework": [19, 65], "languag": [19, 75], "lack": 19, "highli": 19, "suit": [19, 50], "facilit": [19, 80], "manipul": 19, "paper": 19, "shouldn": [19, 65], "form": [19, 58, 71], "opinion": 19, "impact": 19, "noth": 19, "dictat": 19, "advanc": [19, 74], "stabil": 19, "reliabl": 19, "countless": 19, "terabyt": 19, "qualiti": [19, 64], "surpris": 19, "encount": 19, "grew": 19, "frustrat": 19, "eleg": 19, "domain": 19, "music": 19, "fun": 19, "didn": 19, "daili": 19, "sword": 19, "big": 19, "incredibli": 19, "intuit": 19, "possibli": 19, "fortun": 19, "everyth": [19, 21, 72, 76], "ask": [19, 23, 50, 58], "backend": [19, 35], "remark": 19, "bandwidth": [19, 34], "audibl": 19, "sound": [19, 21, 25, 27, 51, 59, 72, 74, 82], "sens": 19, "midibuff": 19, "hack": 19, "who": 19, "environ": [19, 72], "report": [19, 50], "citat": 19, "great": [20, 80], "mix": 20, "freedom": 20, "daunt": 20, "opportun": 20, "acq": [20, 25, 82], "simul": 20, "implant": [20, 38, 50, 64], "mous": [20, 38, 53, 62], "somatosensori": 20, "cortex": [20, 38], "f": [20, 34, 50], "datafil": [20, 38], "scroll": [20, 40, 50], "ellipsi": [20, 62], "confirm": [20, 30, 50, 81], "again": [20, 25, 34, 39, 51, 58, 59, 64, 74], "potenti": [20, 23, 38, 47, 50, 70, 73], "lift": 20, "simplifi": 20, "creation": 20, "pair": [20, 41, 46, 50, 69], "expos": [20, 40, 58], "deselect": [20, 28, 37, 50, 62], "though": [20, 25, 39, 51], "hear": [20, 27], "volum": [20, 21, 27, 62], "slider": [20, 21, 27, 31, 38, 73], "speaker": [20, 25, 27, 39, 51, 59], "mute": [20, 27], "conflict": [20, 50, 58, 75], "tradit": 21, "conceptu": 21, "ableton": 21, "pathwai": 21, "dynam": [21, 32], "hopefulli": [21, 71], "comprehend": 21, "pain": 21, "enjoy": [21, 84], "roughli": 21, "rare": [21, 50], "labview": 21, "scrap": 21, "synonym": 21, "technic": 21, "known": [21, 47], "toggl": [21, 25, 38, 39, 40, 47, 50, 51, 52, 59, 72, 74, 80], "auxiliari": [21, 22, 25, 36, 39, 47, 51, 59, 64], "cluster": [21, 69, 77], "togeth": [21, 30, 37, 45, 61, 70, 82], "notif": 21, "ey": 21, "gate": [21, 26, 27, 55], "suppress": [21, 27], "nois": [21, 27, 32, 33, 49, 50, 64], "driven": 21, "jump": [21, 32], "lot": [21, 22], "smooth": [21, 46, 47, 66], "chunk": [21, 66], "averag": [21, 33, 40, 53, 66, 73, 76, 80, 82], "present": [21, 73, 81], "hasn": [21, 46], "elaps": [21, 50], "Or": 21, "37497": [21, 53, 56, 81, 82], "undo": 21, "redo": 21, "past": [21, 50], "hide": 21, "restor": [21, 73], "offscreen": 21, "browser": [21, 56, 81], "inter": 21, "solv": 21, "vari": [21, 32, 50, 64], "bundl": [21, 23], "whole": [21, 28], "spot": [21, 33], "wrong": [21, 23], "exit": [21, 80], "sucessfulli": 21, "overwritten": [21, 46, 58, 80], "usernam": [21, 58], "programdata": [21, 50], "demo": 22, "guidelin": 22, "nvme": 22, "128": [22, 50, 62, 67, 73], "ssd": [22, 62], "produc": [22, 50, 82], "contin": 22, "cost": 22, "512": [22, 59, 63], "tungsten": 22, "ghz": 22, "faster": [22, 24, 49, 60, 82], "multithread": 22, "gb": 22, "32": [22, 25, 39, 51, 59, 71], "storag": [22, 50], "gpu": 22, "reward": 22, "maze": 22, "commut": 22, "light": [22, 50], "optogenet": 22, "starter": 22, "kit": 22, "intan": [22, 25, 51, 63, 74, 76, 82, 83], "technologi": [22, 74], "shuttledr": 22, "hundr": 22, "thousand": 22, "neuron": 22, "imec": [22, 50], "nhp": [22, 50], "slack": 22, "sign": [22, 34], "11": [22, 28, 29], "intel": 22, "optimum": 22, "driver": [22, 23, 47, 50], "amd": 22, "mb": 22, "nvidia": 22, "cuda": 22, "gtx": 22, "1660": 22, "motherboard": [22, 47, 50], "x8": 22, "x16": 22, "slot": [22, 23, 25, 39, 47, 50, 51, 59, 81], "summar": 22, "chassi": [22, 47, 50], "far": [22, 47], "pxie": [22, 37, 50], "1071": [22, 50], "1082": [22, 50], "1083": [22, 50], "adlink": [22, 50], "pxe": [22, 50], "2301": [22, 50], "8381": [22, 50], "8398": [22, 50], "8382": [22, 50], "mxi": [22, 50], "express": [22, 50], "thunderbolt": [22, 50], "suffici": [22, 29, 50], "mostli": 23, "execut": [23, 50], "ex": 23, "openephysgui": 23, "unzip": 23, "frontpanelusb": 23, "driveronli": 23, "redistribut": 23, "deb": 23, "password": 23, "usr": 23, "uninstal": 23, "dpkg": 23, "scienc": 23, "overlai": [23, 40, 53], "eselect": 23, "emerg": 23, "noreplac": 23, "verbos": 23, "l": [23, 25, 51, 59, 74], "depclean": 23, "sci": 23, "biologi": 23, "inconveni": [23, 80], "goe": [23, 25, 39, 40, 51, 59, 74], "brave": 23, "9999": 23, "99999999": 23, "stabl": 23, "secur": 23, "preferer": 23, "privaci": 23, "anywai": [23, 34], "depth": [24, 25, 34, 40, 51, 56, 62, 74], "octob": [24, 25, 51], "oe": [24, 25, 58, 63, 74, 76], "ctrl": [24, 25, 29, 32, 33, 34, 35, 37, 39, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 52, 53, 54, 56, 57, 58, 59, 60, 64, 65, 66, 67, 68, 71, 72, 73, 75, 76], "ttl_out": [24, 25, 32, 55, 59, 60], "temporarili": [24, 25, 59, 60], "trigger_lin": [24, 25, 59, 60], "durat": [24, 25, 29, 46, 50, 52, 59, 60, 72, 80], "event_dur": [24, 25, 59, 60], "presenc": [24, 25, 59, 60], "dedic": [24, 50, 58, 60, 82], "a1": [25, 39, 51, 59], "a2": [25, 39, 51, 59], "b1": [25, 39, 51, 59], "b2": [25, 39, 51, 59], "row": [25, 39, 45, 51, 53, 59, 62, 67, 73, 74], "omnet": [25, 39, 50, 51, 59], "column": [25, 39, 51, 59, 67, 73, 74], "dual": [25, 39, 51, 59], "chip": [25, 39, 51, 59, 74], "rescan": [25, 39, 51, 59, 74], "acceleromet": [25, 39, 51, 59, 64], "grai": [25, 34, 36, 39, 47, 50, 51, 59, 62, 74, 80], "discard": [25, 39, 51, 59, 74], "preview": [25, 39, 51, 59, 74], "jack": [25, 39, 51, 59, 74], "mirror": [25, 39, 51, 59, 74], "ch1": [25, 51, 59, 74, 79, 80], "ch2": [25, 51, 59, 74, 80], "r": [25, 29, 51, 56, 59, 65, 74, 81], "leftmost": [25, 51], "reveal": [25, 39, 51, 59, 74], "pop": [25, 27, 39, 41, 51, 59, 74, 76], "selector": [25, 26, 27, 28, 30, 31, 34, 39, 50, 51, 59, 67, 71, 74, 76, 80, 82], "particularli": [25, 39, 47, 51, 54, 59, 74], "downsampl": [25, 39, 51], "n": [25, 30, 39, 51, 58, 64, 77, 78], "enforc": [25, 39, 51], "dc": [25, 39, 40, 51, 59, 74], "cutoff": [25, 39, 51, 59, 74], "closest": [25, 39, 51, 59, 74], "untest": [25, 39, 51, 59, 74], "ti": [25, 30, 39, 51, 59, 74], "evalu": [25, 39, 51, 59, 63, 74], "fast": [25, 39, 51, 59, 66, 74], "amplifi": [25, 39, 50, 51, 59, 74], "ye": [26, 27, 28, 30, 31, 33, 36, 38, 40, 45, 53, 55, 61, 62, 67, 68, 69, 70, 77, 79], "leonardo": 26, "teensi": 26, "modem": 26, "output_pin": 26, "mimic": 26, "input_lin": 26, "gate_lin": [26, 55], "anjal": [27, 40, 52, 56, 58, 66, 75], "doshi": [27, 40, 52, 56, 58, 66, 75], "upsampl": 27, "middl": [27, 82], "mon": [27, 69], "whichev": 27, "low_cut": [28, 54, 81], "high_cut": [28, 54, 81], "000": 28, "subset": [28, 34, 38, 50, 56, 80], "deactiv": [28, 50, 57], "obtain": [28, 50], "toolbox": 28, "butter": 28, "lowcut": 28, "highcut": 28, "2x": 28, "forward": [28, 52], "numpi": [28, 50, 58, 80], "scipi": 28, "btype": 28, "unfilt": [28, 54, 67], "bypass": 28, "conserv": 28, "ap": [28, 47, 50, 67], "rodrigo": 29, "amaducci": 29, "andrea": 29, "nava": 29, "oliv": 29, "doi": [29, 64], "7554": 29, "elif": 29, "77772": 29, "model": [29, 47, 54, 74], "dialog": 29, "pb": 29, "timeout": [29, 65], "calibr": [29, 64], "probabl": 29, "deviat": [29, 37, 40, 64, 67], "domin": 29, "character": [29, 64], "maria": 29, "teresa": 29, "juardo": 29, "parra": 29, "enriqu": 29, "sebastian": 29, "liset": 29, "la": 29, "prida": 29, "deep": 29, "predict": [29, 54, 55], "interpret": [29, 34], "sharp": 29, "wave": [29, 37, 38, 46, 47, 50], "rodent": 29, "hippocampu": 29, "e77772": 29, "cite": [29, 64], "improp": 30, "icon": [30, 53, 56], "programat": 30, "ryan": 31, "malonei": 31, "kiril": 31, "abramov": 31, "referenc": [31, 47, 49], "percentag": 31, "upward": [31, 40, 69], "downward": [31, 40, 69], "illustr": 31, "figur": [31, 50, 58, 72], "accses": 31, "ethan": [32, 44, 54], "blackwood": [32, 44, 54, 58], "mark": [32, 54, 66, 81], "schatza": [32, 54, 66], "conjunct": [32, 46], "smoothli": 32, "timeout_m": 32, "fire": [32, 53], "constant": [32, 47, 72], "randomli": [32, 50], "uniform": [32, 72], "spurious": 32, "magnitud": [32, 64], "vote": 32, "span": [32, 38], "t0": 32, "grei": 33, "cividi": 33, "viridi": 33, "rdgy": 33, "rdbu": 33, "snap": 33, "png": 33, "quick": 33, "linear": 33, "length": [33, 34, 38, 53], "dead": 33, "noisi": 33, "car": [33, 73, 76], "littl": [33, 77], "brandon": 34, "park": 34, "latter": 34, "opencv": 34, "mat": 34, "rhd2000evalboard": 34, "isol": [34, 47], "tcpserver": 34, "sendmatoversocket": 34, "address": [34, 43, 52, 56, 72, 81], "put": [34, 56, 62, 81, 82], "localhost": [34, 35, 53, 56, 81], "quot": [34, 79], "9001": 34, "pictur": 34, "dsp": 34, "transform": [34, 47, 54, 55, 66], "32768": [34, 79], "disconnect": [34, 50], "forc": 34, "loss": [34, 40], "suspect": 34, "whatev": [34, 43], "byte": [34, 75, 79], "22": 34, "int32": 34, "num_byt": 34, "num_sampl": [34, 58, 75], "element_s": 34, "enumer": 34, "u8": 34, "s8": 34, "u16": 34, "s16": 34, "s32": 34, "f32": 34, "f64": 34, "unsign": [34, 71, 77, 79], "pai": [34, 58], "attent": [34, 58], "interleav": 34, "data_scal": 34, "data_offset": 34, "sample_r": [34, 35, 58, 75, 81], "christoph": [35, 48, 71], "stawarz": [35, 48], "regardless": [35, 50, 69, 80], "payload": 35, "event_typ": 35, "stream_nam": 35, "source_nod": [35, 58, 75], "193722": 35, "sorted_id": [35, 58, 75], "amp1": 35, "amp2": 35, "amp3": 35, "amp4": 35, "101": [35, 57, 81], "channel_nam": 35, "103922": 35, "serial": [35, 37, 50], "url": [35, 48, 81, 82], "tcp": 35, "5557": [35, 75], "context": 35, "eventtyp": 35, "setsockopt": 35, "def": 35, "recv_multipart": 35, "event_info": 35, "decod": 35, "utf": 35, "slow": [36, 53], "exact": 36, "realign": 36, "marin": 37, "chaput": 37, "3335": 37, "unlik": [37, 58, 71], "flatbuff": 37, "imag": [37, 54, 56, 58, 64, 72, 75], "slight": 37, "salin": [37, 50], "bath": 37, "sine": [37, 38, 50], "openephyszmq": 37, "serialoutput": 37, "roundtrip": 37, "characterist": 37, "median": [37, 49, 67], "9": [37, 46, 50, 66], "suitabl": [37, 50], "pavel": [38, 43, 47, 50, 62, 78], "kulik": [38, 43, 47, 50, 62, 78], "drawer": [38, 55], "timelin": 38, "playback": 38, "anywher": [38, 40, 42, 50, 61, 70, 80], "forth": 38, "NOT": [38, 59], "barrel": 38, "awak": 38, "data_stream_16ch_cortex": 38, "ca1": 38, "amplitud": [38, 40, 47, 50, 56, 64, 67, 69], "data_stream_sine_wav": 38, "chirps_16_channels_at40khz": 38, "sweep": 38, "k": [40, 56], "michael": [40, 56], "fox": [40, 56], "daniel": 40, "wagenaar": 40, "preserv": 40, "trace": [40, 71], "raster": [40, 53], "timebas": 40, "dropdown": [40, 62], "chan": 40, "height": [40, 53, 72], "alloc": 40, "extent": 40, "250": [40, 64, 66, 69], "\u00b5v": 40, "aux": 40, "overlaid": [40, 53], "transluc": 40, "3x": 40, "closer": 40, "adjac": 40, "triangl": 40, "clip": 40, "warn": [40, 50], "sat": 40, "diagon": 40, "revers": 40, "opposit": [40, 61], "numer": [40, 50, 69], "highest": [40, 47], "skip": 40, "nth": 40, "dens": 40, "contact": 40, "invert": [40, 47, 69], "convent": 40, "subtract": [40, 46, 49, 50, 73, 79], "mutipl": 40, "trial": [40, 53], "uv": [40, 67], "cursor": 40, "peak": [40, 54, 67, 69, 75, 77, 78], "trough": [40, 54], "stretch": 40, "florin": 41, "chadwick": 41, "boulai": 41, "marker": [41, 79, 80], "irregular": [41, 71], "marker_1": 41, "marker_2": 41, "statist": 42, "hover": [42, 62, 80], "socket": [43, 75, 76], "ip": [43, 52, 56, 81], "leverag": 43, "encapsul": 43, "classdef": 43, "myclass": 43, "self": [43, 58, 77, 78, 80], "protect": 43, "numsampl": 43, "datain": 43, "numsamplesfetch": 43, "inact": [44, 50], "exclud": 44, "popup": [45, 50, 55, 67, 71, 72], "michel": [46, 57], "fogerson": [46, 57], "thought": 46, "huguenard": 46, "stanford": 46, "absenc": [46, 50], "mice": 46, "spectral": [46, 56], "sorokin": 46, "2016": 46, "18": 46, "alpha": 46, "fewer": [46, 49], "2090a": 47, "2110": 47, "19": [47, 64], "3643": 47, "24": 47, "1239": 47, "715": 47, "746": 47, "220": 47, "ghost": 47, "99": 47, "swap": [47, 50, 76], "act": 47, "rse": 47, "nrse": 47, "non": [47, 69, 71, 80], "diff": 47, "differenti": 47, "pdif": 47, "infer": 47, "electron": [47, 50], "inconsist": 47, "constantli": 47, "univers": [47, 75], "largest": 47, "treat": 47, "shift": [47, 50, 67], "barcod": 47, "tempor": [47, 49], "pattern": [47, 62], "aligned_timestamp": 47, "original_timestamp": 47, "subprocessor": [47, 80, 82], "5khz": 47, "upon": [47, 81], "third": [47, 76], "fifth": 47, "descend": [47, 76], "squar": [47, 53, 56], "furthermor": 47, "talk": 47, "10hz": 47, "interfer": 47, "expens": 47, "swing": 47, "11v": 47, "nonetheless": 47, "cheap": 47, "er": 47, "microcontrol": 47, "boost": 47, "12v": 47, "pc": [47, 68], "exhibit": 47, "overshoot": 47, "steep": 47, "significantli": [47, 67], "achiev": [47, 79], "clean": 47, "shai": [48, 68], "ohayon": [48, 68], "arn": [48, 57, 73], "meyer": [48, 73], "aquisit": 48, "record_node_id": 48, "createnewdir": 48, "recdir": 48, "recording_directory_path": 48, "prependtext": 48, "some_text": 48, "appendtext": 48, "isacquir": 48, "isrecord": 48, "getrecordingpath": 48, "getrecordingnumb": 48, "getexperimentnumb": 48, "matlab_zeromq_wrapper_exampl": 48, "mex": 48, "startconnectthread": 48, "record_control_example_cli": 48, "py": [48, 75, 77, 79], "unchang": [49, 55, 61, 73, 76], "mask": [49, 81], "air": 49, "conduct": 49, "sensit": 49, "outlier": [49, 67], "spikeinterfac": [49, 50], "multiplex": 49, "concurr": 49, "384": [49, 50, 56, 67], "spec": 50, "twist": 50, "prototyp": 50, "mm": [50, 79, 80], "45": 50, "passiv": [50, 71], "bs137": 50, "bsc176": 50, "bs169": 50, "uhd": 50, "switchabl": 50, "ultradens": 50, "beta": 50, "wideband": [50, 54], "bsc189": 50, "opto": 50, "spikeglx": 50, "enclustra": 50, "neuropix": [50, 56], "ultra": 50, "circl": [50, 72], "attach": 50, "explan": 50, "seat": 50, "zif": 50, "disappear": 50, "probe_serial_numb": 50, "_adccalibr": 50, "_gaincalvalu": 50, "calibrationinfo": 50, "hidden": 50, "uncalibr": 50, "shank": [50, 56], "bank": 50, "mini": 50, "50x": 50, "3000x": 50, "500x": 50, "250x": 50, "300": [50, 81], "pad": 50, "flex": 50, "immers": 50, "acut": 50, "screw": 50, "embed": [50, 58], "skull": 50, "chronic": 50, "tip": [50, 81], "leakag": 50, "imped": 50, "manifest": 50, "satur": 50, "sudden": 50, "Such": [50, 80], "area": 50, "TO": 50, "tabl": [50, 67], "caveat": 50, "conform": 50, "awar": 50, "probea": [50, 56], "probeb": 50, "probec": 50, "dock": [50, 81], "porb": 50, "subject": [50, 72], "inadvert": 50, "29999": 50, "385th": 50, "catgt": 50, "pinpoint": 50, "li": 50, "diagnos": 50, "fail": [50, 58, 67, 75], "toler": 50, "fulli": 50, "health": 50, "failur": 50, "psb": 50, "bu": 50, "damag": 50, "corrupt": [50, 80], "eeprom": 50, "bsc": 50, "i2c": 50, "serd": 50, "heartbeat": 50, "broken": 50, "outcom": 50, "unsur": 50, "bases": 50, "0169": 50, "2189": 50, "qbsc": 50, "patient": [50, 54, 66], "ext": 50, "gainval": 50, "processor_id": [50, 81, 82], "106": 50, "385": 50, "electrode_str": 50, "join": 50, "astyp": 50, "str": [50, 58], "767": 50, "oni": 51, "opal": [51, 63], "kelli": [51, 63], "gon\u00e7alo": 52, "lope": [52, 64], "endpoint": [52, 53, 56, 81], "slash": 52, "stim": 52, "createudpcli": 52, "sendmessag": 52, "clayton": 53, "barn": 53, "recalcul": [53, 64], "num": [53, 58, 75], "col": 53, "condition_index": 53, "trigger_typ": 53, "102": [53, 81], "splitter": [54, 76], "algorithm": [54, 55], "somewhat": [54, 80], "intens": 54, "freq": [54, 56], "hilbert": [54, 55], "delta": 54, "ar_refresh": 54, "ar_ord": 54, "autoregress": 54, "burg": 54, "tthe": 54, "simplest": 55, "accuraci": 55, "best": [55, 56, 67, 80], "narrow": 55, "preced": 55, "overlap": [55, 67, 80], "circular": [56, 72], "root": [56, 80], "anatom": 56, "web": [56, 81], "scene": 56, "escap": 56, "checkbox": [56, 65, 76], "standalon": 56, "probe_nam": 56, "start1": 56, "end1": 56, "region_id_1": 56, "hex_color_1": 56, "start2": 56, "end2": 56, "abbrevi": 56, "visp": 56, "charact": 56, "hex": 56, "69": 56, "pt": 56, "ff909f": 56, "97": 56, "pvt": 56, "161": 56, "000000": 56, "162": 56, "173": 56, "174": 56, "185": 56, "sf": 56, "90cbed": 56, "960": 56, "alessio": [57, 72], "buccino": [57, 72], "mikkel": 57, "lepperod": 57, "svenn": 57, "dragli": 57, "v1": 57, "v2": 57, "condit": 57, "example_data": [57, 81], "ttl1": 57, "wiki": [57, 72, 76, 84], "spencer": 58, "conda": 58, "miniconda": 58, "anaconda": 58, "x86_64": 58, "pip": 58, "investig": 58, "got": 58, "miniconda3": 58, "userhom": 58, "anaconda3": 58, "incorrect": 58, "relaunch": 58, "pyprocessor": 58, "__init__": 58, "ndarrari": 58, "num_channl": 58, "start_acquisit": 58, "stop_acquisit": 58, "start_record": 58, "recording_dir": 58, "suppos": 58, "stop_record": 58, "handle_ttl_ev": 58, "handle_spik": 58, "electrode_nam": 58, "spike_data": 58, "introduc": [58, 82], "oe_pyprocessor": 58, "add_python_ev": 58, "invok": 58, "butterworth": [58, 66], "overrun": 62, "estim": [62, 80], "hdf5": [62, 78, 80], "syntax": 62, "recov": [62, 67, 80], "parent_directori": [62, 81], "nwb2": 62, "stream_index": 62, "rhd": [63, 74, 76, 82, 83], "rec": [63, 76], "bruno": 64, "monteiro": 64, "sousa": 64, "1088": 64, "1741": 64, "2552": 64, "ac857b": 64, "rm": 64, "thresh": 64, "refr": 64, "refractori": 64, "mov": 64, "movement": 64, "mechan": 64, "blockag": 64, "silenc": 64, "acc": 64, "acceler": 64, "emg": 64, "st": [64, 67], "steadi": 64, "immobl": 64, "divid": [64, 77, 79], "rms_sampl": 64, "amplitudethreshold": 64, "ripple_std": 64, "time_thresh": 64, "refr_tim": 64, "mov_detect": 64, "rais": 64, "eliezy": 64, "fermino": 64, "oliveira": 64, "ikaro": 64, "jesu": 64, "da": 64, "silva": 64, "beraldo": 64, "rafaela": 64, "schuttenberg": 64, "polanczyk": 64, "jo\u00e3o": 64, "pereira": 64, "leit": 64, "cleiton": 64, "aguiar": 64, "046040": 64, "paul": 65, "botro": 65, "unidirect": 65, "eventu": 65, "streamread": 65, "redisconnect": 65, "6379": 65, "purpl": 65, "407": 65, "10000": 65, "new_buff": 65, "num_read": 65, "subsystem": 65, "wsl": 65, "deter": 65, "schema": 65, "sumedh": 66, "nagral": 66, "legend": 66, "spectogram": 66, "100hz": 66, "500hz": 66, "1000hz": 66, "fourier": 66, "ham": 66, "fft": 66, "1d": 66, "spectra": 66, "carefulli": 67, "combo": 67, "tt": 67, "intervent": 67, "med": 67, "quian": 67, "quiroga": 67, "sortedid": [68, 69], "princip": 68, "polygon": 68, "sorter": [69, 76, 82], "comparison": 69, "lock": 69, "decreas": 69, "classic": 70, "signific": [70, 77], "reconnect": 70, "thoma": 71, "transitor": 71, "nomenclatur": 71, "interchang": 71, "distinct": 71, "cinpla": 72, "outfit": 72, "head": 72, "osc": [72, 76], "vision": 72, "webcam": 72, "spinnak": 72, "pylon": 72, "vimba": 72, "cameracaptur": 72, "csvwriter": 72, "oppos": 72, "train": 72, "gaussian": 72, "fmax": 72, "border": [72, 80], "sd": 72, "x_posit": 72, "y_posit": 72, "radiu": 72, "inactiv": 72, "reactiv": 72, "virtualreferenceplugin": 73, "matrix": 73, "equival": 73, "preset": 73, "stone": 74, "lin": 74, "ONE": [74, 83], "manufactur": 74, "x6r128": 74, "francesco": 75, "battaglia": 75, "andr\u00e1": 75, "sz\u00e9ll": 75, "client": 75, "seri": 75, "5556": 75, "uuid": 75, "message_num": 75, "channel_num": 75, "1byte": 75, "python_cli": 75, "simple_plotter_zmq": 75, "heart": [76, 83], "rapidli": 76, "ever": 76, "incorpor": 76, "parti": 76, "migrat": [76, 84], "lsl": 76, "inlet": 76, "cnn": 76, "snapshot": 76, "falcon": 76, "histogram": 76, "river": 76, "miscellan": 76, "speicfi": 76, "internet": 76, "alphabet": 76, "ascend": 76, "z": 76, "impli": 76, "regard": 76, "owner": 76, "fetch": 76, "sai": 76, "newer": 76, "newest": 76, "older": 76, "endian": 77, "ch1_samp1": 77, "ch2_samp1": 77, "chn_samp1": 77, "ch1_samp2": 77, "ch2_samp2": 77, "chn_sampm": 77, "wherea": [77, 82], "synchronized_timestamp": 77, "ch_number": [77, 78], "channel_st": [77, 82], "becam": 77, "full_word": [77, 78], "dimens": [77, 78], "pyopenephi": [77, 79], "datload": 77, "__": [77, 78, 79], "pynwb": [78, 80], "matnwb": 78, "grow": 78, "gracefulli": 78, "irrecover": 78, "file_create_d": 78, "iso": 78, "nwb_version": 78, "session_start_tim": 78, "electricalseri": 78, "channel_convers": 78, "eusual": 78, "timeseri": 78, "spikeeventseri": 78, "truncat": 79, "impos": 79, "8000": 79, "flat": 79, "hierarchi": 79, "underscor": 79, "_2": 79, "distinguish": 79, "header_byt": 79, "date_cr": 79, "dd": 79, "yyyi": [79, 80], "hhmmss": 79, "channeltyp": 79, "blocklength": 79, "buffers": 79, "prefix": 79, "plain": 79, "enclos": 79, "2070": 79, "electrode1": 79, "loadcontinu": 79, "loadev": 79, "loadspik": 79, "lose": 80, "valuabl": 80, "unwant": 80, "ineffici": 80, "bottleneck": 80, "behav": 80, "redirect": 80, "001_": 80, "002_": 80, "dd_hh": 80, "ss": 80, "_001": 80, "_002": 80, "sample1": 80, "ch3": 80, "chn": 80, "longest": 80, "neurodata": 80, "philosophi": 80, "baggag": 80, "inabl": 80, "90": 80, "style": 80, "slice": 80, "exaxmpl": 80, "esc": 80, "raw": 80, "maxim": 80, "accomplish": 80, "troubl": 80, "programm": 80, "phone": [81, 82], "keypad": [81, 82], "webread": 81, "webwrit": 81, "idl": [81, 82], "webopt": 81, "requestmethod": 81, "mediatyp": 81, "base_text": 81, "prepend_text": 81, "append_text": 81, "record_nod": 81, "node_id": 81, "experiment_numb": 81, "recording_numb": 81, "is_synchron": 81, "new_directory_nam": 81, "predecessor": 81, "null": 81, "channel_count": 81, "40000": 81, "source_id": 81, "enable_stream": 81, "6000": 81, "acqboard": 81, "epoch": 81, "shut": 81, "quit": 81, "juli": 82, "biggest": 82, "histori": 82, "old": 82, "acqusit": 82, "exclus": 82, "confus": 82, "irregularli": 82, "regularli": 82, "stricter": 82, "adher": 82, "peopl": 82, "reproduc": 82, "xdaq": 83, "headset": 83, "layer": 83, "topic": [83, 84], "miss": 83, "intro": 84, "tour": 84}, "objects": {"": [[11, 0, 1, "_CPPv4N12CoreServices10RecordNode21setRecordingDirectoryE6Stringib", "CoreServices::RecordNode::setRecordingDirectory"], [11, 1, 1, "_CPPv4N12CoreServices10RecordNode21setRecordingDirectoryE6Stringib", "CoreServices::RecordNode::setRecordingDirectory::applyToAll"], [11, 1, 1, "_CPPv4N12CoreServices10RecordNode21setRecordingDirectoryE6Stringib", "CoreServices::RecordNode::setRecordingDirectory::dir"], [11, 1, 1, "_CPPv4N12CoreServices10RecordNode21setRecordingDirectoryE6Stringib", "CoreServices::RecordNode::setRecordingDirectory::nodeId"], [11, 0, 1, "_CPPv4N12CoreServices29allRecordNodesAreSynchronizedEv", "CoreServices::allRecordNodesAreSynchronized"], [11, 0, 1, "_CPPv4N12CoreServices27createNewRecordingDirectoryEv", "CoreServices::createNewRecordingDirectory"], [11, 0, 1, "_CPPv4N12CoreServices20getAcquisitionStatusEv", "CoreServices::getAcquisitionStatus"], [11, 0, 1, "_CPPv4N12CoreServices25getAvailableRecordNodeIdsEv", "CoreServices::getAvailableRecordNodeIds"], [11, 0, 1, "_CPPv4N12CoreServices27getDefaultUserSaveDirectoryEv", "CoreServices::getDefaultUserSaveDirectory"], [11, 0, 1, "_CPPv4N12CoreServices13getGUIVersionEv", "CoreServices::getGUIVersion"], [11, 0, 1, "_CPPv4N12CoreServices31getRecordingDirectoryAppendTextEv", "CoreServices::getRecordingDirectoryAppendText"], [11, 0, 1, "_CPPv4N12CoreServices25getRecordingDirectoryNameEv", "CoreServices::getRecordingDirectoryName"], [11, 0, 1, "_CPPv4N12CoreServices32getRecordingDirectoryPrependTextEv", "CoreServices::getRecordingDirectoryPrependText"], [11, 0, 1, "_CPPv4N12CoreServices27getRecordingParentDirectoryEv", "CoreServices::getRecordingParentDirectory"], [11, 0, 1, "_CPPv4N12CoreServices18getRecordingStatusEv", "CoreServices::getRecordingStatus"], [11, 0, 1, "_CPPv4N12CoreServices22getSavedStateDirectoryEv", "CoreServices::getSavedStateDirectory"], [11, 0, 1, "_CPPv4N12CoreServices21getSoftwareSampleRateEv", "CoreServices::getSoftwareSampleRate"], [11, 0, 1, "_CPPv4N12CoreServices20getSoftwareTimestampEv", "CoreServices::getSoftwareTimestamp"], [11, 0, 1, "_CPPv4N12CoreServices15highlightEditorEP13GenericEditor", "CoreServices::highlightEditor"], [11, 1, 1, "_CPPv4N12CoreServices15highlightEditorEP13GenericEditor", "CoreServices::highlightEditor::editor"], [11, 0, 1, "_CPPv4N12CoreServices15loadSignalChainE6String", "CoreServices::loadSignalChain"], [11, 1, 1, "_CPPv4N12CoreServices15loadSignalChainE6String", "CoreServices::loadSignalChain::path"], [11, 0, 1, "_CPPv4N12CoreServices18saveRecoveryConfigEv", "CoreServices::saveRecoveryConfig"], [8, 0, 1, "_CPPv4N12CoreServices17sendStatusMessageE6String", "CoreServices::sendStatusMessage"], [11, 0, 1, "_CPPv4N12CoreServices17sendStatusMessageERK6String", "CoreServices::sendStatusMessage"], [8, 1, 1, "_CPPv4N12CoreServices17sendStatusMessageE6String", "CoreServices::sendStatusMessage::message"], [11, 1, 1, "_CPPv4N12CoreServices17sendStatusMessageERK6String", "CoreServices::sendStatusMessage::text"], [11, 0, 1, "_CPPv4N12CoreServices20setAcquisitionStatusEb", "CoreServices::setAcquisitionStatus"], [11, 1, 1, "_CPPv4N12CoreServices20setAcquisitionStatusEb", "CoreServices::setAcquisitionStatus::enable"], [11, 0, 1, "_CPPv4N12CoreServices31setRecordingDirectoryAppendTextE6String", "CoreServices::setRecordingDirectoryAppendText"], [11, 1, 1, "_CPPv4N12CoreServices31setRecordingDirectoryAppendTextE6String", "CoreServices::setRecordingDirectoryAppendText::text"], [11, 0, 1, "_CPPv4N12CoreServices29setRecordingDirectoryBasenameE6String", "CoreServices::setRecordingDirectoryBasename"], [11, 1, 1, "_CPPv4N12CoreServices29setRecordingDirectoryBasenameE6String", "CoreServices::setRecordingDirectoryBasename::dir"], [11, 0, 1, "_CPPv4N12CoreServices32setRecordingDirectoryPrependTextE6String", "CoreServices::setRecordingDirectoryPrependText"], [11, 1, 1, "_CPPv4N12CoreServices32setRecordingDirectoryPrependTextE6String", "CoreServices::setRecordingDirectoryPrependText::text"], [11, 0, 1, "_CPPv4N12CoreServices27setRecordingParentDirectoryE6String", "CoreServices::setRecordingParentDirectory"], [11, 1, 1, "_CPPv4N12CoreServices27setRecordingParentDirectoryE6String", "CoreServices::setRecordingParentDirectory::dir"], [11, 0, 1, "_CPPv4N12CoreServices18setRecordingStatusEb", "CoreServices::setRecordingStatus"], [11, 1, 1, "_CPPv4N12CoreServices18setRecordingStatusEb", "CoreServices::setRecordingStatus::enable"], [11, 0, 1, "_CPPv4N12CoreServices17updateSignalChainEP13GenericEditor", "CoreServices::updateSignalChain"], [11, 1, 1, "_CPPv4N12CoreServices17updateSignalChainEP13GenericEditor", "CoreServices::updateSignalChain::editor"], [9, 0, 1, "_CPPv47NpyFile6String7NpyType", "NpyFile"], [9, 1, 1, "_CPPv47NpyFile6String7NpyType", "NpyFile::path"], [9, 1, 1, "_CPPv47NpyFile6String7NpyType", "NpyFile::type"], [9, 0, 1, "_CPPv419SequentialBlockFilei", "SequentialBlockFile"], [9, 1, 1, "_CPPv419SequentialBlockFilei", "SequentialBlockFile::nChannels"], [10, 0, 1, "_CPPv4N10Visualizer27loadCustomParametersFromXmlEP10XmlElement", "Visualizer::loadCustomParametersFromXml"], [10, 1, 1, "_CPPv4N10Visualizer27loadCustomParametersFromXmlEP10XmlElement", "Visualizer::loadCustomParametersFromXml::xml"], [10, 0, 1, "_CPPv4N10Visualizer25saveCustomParametersToXmlEP10XmlElement", "Visualizer::saveCustomParametersToXml"], [10, 1, 1, "_CPPv4N10Visualizer25saveCustomParametersToXmlEP10XmlElement", "Visualizer::saveCustomParametersToXml::xml"], [10, 0, 1, "_CPPv416VisualizerEditorP16GenericProcessor6Stringi", "VisualizerEditor"], [10, 1, 1, "_CPPv416VisualizerEditorP16GenericProcessor6Stringi", "VisualizerEditor::desiredWidth"], [10, 1, 1, "_CPPv416VisualizerEditorP16GenericProcessor6Stringi", "VisualizerEditor::processor"], [10, 1, 1, "_CPPv416VisualizerEditorP16GenericProcessor6Stringi", "VisualizerEditor::tabText"], [8, 0, 1, "_CPPv415addIntParameterN9Parameter14ParameterScopeERK6StringRK6Stringiii", "addIntParameter"], [8, 1, 1, "_CPPv415addIntParameterN9Parameter14ParameterScopeERK6StringRK6Stringiii", "addIntParameter::defaultValue"], [8, 1, 1, "_CPPv415addIntParameterN9Parameter14ParameterScopeERK6StringRK6Stringiii", "addIntParameter::description"], [8, 1, 1, "_CPPv415addIntParameterN9Parameter14ParameterScopeERK6StringRK6Stringiii", "addIntParameter::maxValue"], [8, 1, 1, "_CPPv415addIntParameterN9Parameter14ParameterScopeERK6StringRK6Stringiii", "addIntParameter::minValue"], [8, 1, 1, "_CPPv415addIntParameterN9Parameter14ParameterScopeERK6StringRK6Stringiii", "addIntParameter::name"], [8, 1, 1, "_CPPv415addIntParameterN9Parameter14ParameterScopeERK6StringRK6Stringiii", "addIntParameter::scope"], [8, 0, 1, "_CPPv413addTTLChannel6String", "addTTLChannel"], [8, 1, 1, "_CPPv413addTTLChannel6String", "addTTLChannel::name"], [8, 0, 1, "_CPPv425addTextBoxParameterEditorRK6Stringii", "addTextBoxParameterEditor"], [8, 1, 1, "_CPPv425addTextBoxParameterEditorRK6Stringii", "addTextBoxParameterEditor::name"], [8, 1, 1, "_CPPv425addTextBoxParameterEditorRK6Stringii", "addTextBoxParameterEditor::xPos"], [8, 1, 1, "_CPPv425addTextBoxParameterEditorRK6Stringii", "addTextBoxParameterEditor::yPos"], [6, 0, 1, "_CPPv416broadcastMessage6String", "broadcastMessage"], [8, 0, 1, "_CPPv416broadcastMessage6String", "broadcastMessage"], [8, 1, 1, "_CPPv416broadcastMessage6String", "broadcastMessage::message"], [6, 1, 1, "_CPPv416broadcastMessage6String", "broadcastMessage::msg"], [8, 0, 1, "_CPPv414checkForEventsb", "checkForEvents"], [8, 1, 1, "_CPPv414checkForEventsb", "checkForEvents::respondToSpikes"], [10, 0, 1, "_CPPv45clearv", "clear"], [9, 0, 1, "_CPPv410closeFilesv", "closeFiles"], [6, 0, 1, "_CPPv412createEditorP10SourceNode", "createEditor"], [6, 1, 1, "_CPPv412createEditorP10SourceNode", "createEditor::sourceNode"], [10, 0, 1, "_CPPv415createNewCanvasv", "createNewCanvas"], [10, 0, 1, "_CPPv47disablev", "disable"], [10, 0, 1, "_CPPv46enablev", "enable"], [7, 0, 1, "_CPPv414fillRecordInfov", "fillRecordInfo"], [8, 0, 1, "_CPPv412flipTTLStateii", "flipTTLState"], [8, 1, 1, "_CPPv412flipTTLStateii", "flipTTLState::lineIndex"], [8, 1, 1, "_CPPv412flipTTLStateii", "flipTTLState::sampleIndex"], [6, 0, 1, "_CPPv416foundInputSourcev", "foundInputSource"], [9, 0, 1, "_CPPv4NK11getEngineIdEv", "getEngineId"], [8, 0, 1, "_CPPv420getNumSamplesInBlock6uint16", "getNumSamplesInBlock"], [8, 1, 1, "_CPPv420getNumSamplesInBlock6uint16", "getNumSamplesInBlock::streamId"], [8, 0, 1, "_CPPv412getParameter6String", "getParameter"], [8, 1, 1, "_CPPv412getParameter6String", "getParameter::name"], [10, 0, 1, "_CPPv48getRangeR7XYRange", "getRange"], [10, 1, 1, "_CPPv48getRangeR7XYRange", "getRange::range"], [8, 0, 1, "_CPPv414getReadPointeri", "getReadPointer"], [8, 1, 1, "_CPPv414getReadPointeri", "getReadPointer::globalChannelIndex"], [8, 0, 1, "_CPPv415getWritePointeri", "getWritePointer"], [8, 1, 1, "_CPPv415getWritePointeri", "getWritePointer::globalChannelIndex"], [6, 0, 1, "_CPPv422handleBroadcastMessage6String", "handleBroadcastMessage"], [8, 0, 1, "_CPPv422handleBroadcastMessage6String", "handleBroadcastMessage"], [8, 1, 1, "_CPPv422handleBroadcastMessage6String", "handleBroadcastMessage::message"], [6, 1, 1, "_CPPv422handleBroadcastMessage6String", "handleBroadcastMessage::msg"], [6, 0, 1, "_CPPv419handleConfigMessage6String", "handleConfigMessage"], [8, 0, 1, "_CPPv419handleConfigMessage6String", "handleConfigMessage"], [8, 1, 1, "_CPPv419handleConfigMessage6String", "handleConfigMessage::message"], [6, 1, 1, "_CPPv419handleConfigMessage6String", "handleConfigMessage::msg"], [8, 0, 1, "_CPPv411handleSpike8SpikePtr", "handleSpike"], [8, 1, 1, "_CPPv411handleSpike8SpikePtr", "handleSpike::event"], [8, 0, 1, "_CPPv414handleTTLEvent11TTLEventPtr", "handleTTLEvent"], [8, 1, 1, "_CPPv414handleTTLEvent11TTLEventPtr", "handleTTLEvent::event"], [9, 0, 1, "_CPPv419increaseRecordCounti", "increaseRecordCount"], [9, 1, 1, "_CPPv419increaseRecordCounti", "increaseRecordCount::count"], [8, 0, 1, "_CPPv427loadCustomParametersFromXmlP10XmlElement", "loadCustomParametersFromXml"], [8, 1, 1, "_CPPv427loadCustomParametersFromXmlP10XmlElement", "loadCustomParametersFromXml::xml"], [10, 0, 1, "_CPPv430loadVisualizerEditorParametersP10XmlElement", "loadVisualizerEditorParameters"], [10, 1, 1, "_CPPv430loadVisualizerEditorParametersP10XmlElement", "loadVisualizerEditorParameters::xml"], [7, 0, 1, "_CPPv44open4File", "open"], [7, 1, 1, "_CPPv44open4File", "open::file"], [9, 0, 1, "_CPPv48openFile6String", "openFile"], [9, 1, 1, "_CPPv48openFile6String", "openFile::filename"], [9, 0, 1, "_CPPv49openFiles4Fileii", "openFiles"], [9, 1, 1, "_CPPv49openFiles4Fileii", "openFiles::experimentNumber"], [9, 1, 1, "_CPPv49openFiles4Fileii", "openFiles::recordingNumber"], [9, 1, 1, "_CPPv49openFiles4Fileii", "openFiles::rootFolder"], [10, 0, 1, "_CPPv45paintR8Graphics", "paint"], [10, 1, 1, "_CPPv45paintR8Graphics", "paint::g"], [8, 0, 1, "_CPPv421parameterValueChangedP9Parameter", "parameterValueChanged"], [8, 1, 1, "_CPPv421parameterValueChangedP9Parameter", "parameterValueChanged::param"], [10, 0, 1, "_CPPv44plotNSt6vectorIfEENSt6vectorIfEE6Colourff8PlotType", "plot"], [10, 1, 1, "_CPPv44plotNSt6vectorIfEENSt6vectorIfEE6Colourff8PlotType", "plot::c"], [10, 1, 1, "_CPPv44plotNSt6vectorIfEENSt6vectorIfEE6Colourff8PlotType", "plot::opacity"], [10, 1, 1, "_CPPv44plotNSt6vectorIfEENSt6vectorIfEE6Colourff8PlotType", "plot::type"], [10, 1, 1, "_CPPv44plotNSt6vectorIfEENSt6vectorIfEE6Colourff8PlotType", "plot::width"], [10, 1, 1, "_CPPv44plotNSt6vectorIfEENSt6vectorIfEE6Colourff8PlotType", "plot::x"], [10, 1, 1, "_CPPv44plotNSt6vectorIfEENSt6vectorIfEE6Colourff8PlotType", "plot::y"], [8, 0, 1, "_CPPv47process11AudioBufferIfE", "process"], [8, 1, 1, "_CPPv47process11AudioBufferIfE", "process::buffer"], [7, 0, 1, "_CPPv418processChannelDataP5int16Pfi5int64", "processChannelData"], [7, 1, 1, "_CPPv418processChannelDataP5int16Pfi5int64", "processChannelData::channel"], [7, 1, 1, "_CPPv418processChannelDataP5int16Pfi5int64", "processChannelData::inputBuffer"], [7, 1, 1, "_CPPv418processChannelDataP5int16Pfi5int64", "processChannelData::nSamples"], [7, 1, 1, "_CPPv418processChannelDataP5int16Pfi5int64", "processChannelData::outputBuffer"], [7, 0, 1, "_CPPv416processEventDataR9EventInfo5int645int64", "processEventData"], [7, 1, 1, "_CPPv416processEventDataR9EventInfo5int645int64", "processEventData::info"], [7, 1, 1, "_CPPv416processEventDataR9EventInfo5int645int64", "processEventData::startSampleNumber"], [7, 1, 1, "_CPPv416processEventDataR9EventInfo5int645int64", "processEventData::stopSampleNumber"], [7, 0, 1, "_CPPv48readDataP5int16i", "readData"], [7, 1, 1, "_CPPv48readDataP5int16i", "readData::buffer"], [7, 1, 1, "_CPPv48readDataP5int16i", "readData::nSamples"], [10, 0, 1, "_CPPv47refreshv", "refresh"], [10, 0, 1, "_CPPv412refreshStatev", "refreshState"], [10, 0, 1, "_CPPv47resizedv", "resized"], [8, 0, 1, "_CPPv425saveCustomParametersToXmlP10XmlElement", "saveCustomParametersToXml"], [8, 1, 1, "_CPPv425saveCustomParametersToXmlP10XmlElement", "saveCustomParametersToXml::xml"], [10, 0, 1, "_CPPv430saveVisualizerEditorParametersP10XmlElement", "saveVisualizerEditorParameters"], [10, 1, 1, "_CPPv430saveVisualizerEditorParametersP10XmlElement", "saveVisualizerEditorParameters::xml"], [7, 0, 1, "_CPPv46seekTo5int64", "seekTo"], [7, 1, 1, "_CPPv46seekTo5int64", "seekTo::sample"], [10, 0, 1, "_CPPv413setAxisColour6Colour", "setAxisColour"], [10, 1, 1, "_CPPv413setAxisColour6Colour", "setAxisColour::c"], [10, 0, 1, "_CPPv419setBackgroundColour6Colour", "setBackgroundColour"], [10, 1, 1, "_CPPv419setBackgroundColour6Colour", "setBackgroundColour::c"], [10, 0, 1, "_CPPv413setGridColour6Colour", "setGridColour"], [10, 1, 1, "_CPPv413setGridColour6Colour", "setGridColour::c"], [10, 0, 1, "_CPPv414setInteractive19InteractivePlotMode", "setInteractive"], [10, 1, 1, "_CPPv414setInteractive19InteractivePlotMode", "setInteractive::mode"], [10, 0, 1, "_CPPv48setRangeR7XYRange", "setRange"], [10, 1, 1, "_CPPv48setRangeR7XYRange", "setRange::range"], [8, 0, 1, "_CPPv411setTTLStateiib", "setTTLState"], [8, 1, 1, "_CPPv411setTTLStateiib", "setTTLState::lineIndex"], [8, 1, 1, "_CPPv411setTTLStateiib", "setTTLState::sampleIndex"], [8, 1, 1, "_CPPv411setTTLStateiib", "setTTLState::state"], [10, 0, 1, "_CPPv44showv", "show"], [10, 0, 1, "_CPPv48showGridb", "showGrid"], [10, 1, 1, "_CPPv48showGridb", "showGrid::state"], [10, 0, 1, "_CPPv49showXAxisb", "showXAxis"], [10, 1, 1, "_CPPv49showXAxisb", "showXAxis::state"], [10, 0, 1, "_CPPv49showYAxisb", "showYAxis"], [10, 1, 1, "_CPPv49showYAxisb", "showYAxis::state"], [6, 0, 1, "_CPPv416startAcquisitionv", "startAcquisition"], [8, 0, 1, "_CPPv416startAcquisitionv", "startAcquisition"], [6, 0, 1, "_CPPv415stopAcquisitionv", "stopAcquisition"], [8, 0, 1, "_CPPv415stopAcquisitionv", "stopAcquisition"], [10, 0, 1, "_CPPv45title6String", "title"], [10, 1, 1, "_CPPv45title6String", "title::t"], [10, 0, 1, "_CPPv46updatev", "update"], [7, 0, 1, "_CPPv418updateActiveRecordi", "updateActiveRecord"], [7, 1, 1, "_CPPv418updateActiveRecordi", "updateActiveRecord::index"], [6, 0, 1, "_CPPv412updateBufferv", "updateBuffer"], [6, 0, 1, "_CPPv414updateSettingsP10OwnedArrayI17ContinuousChannelEP10OwnedArrayI12EventChannelEP10OwnedArrayI12SpikeChannelEP10OwnedArrayI10DataStreamEP10OwnedArrayI10DeviceInfoEP10OwnedArrayI19ConfigurationObjectE", "updateSettings"], [8, 0, 1, "_CPPv414updateSettingsv", "updateSettings"], [6, 1, 1, "_CPPv414updateSettingsP10OwnedArrayI17ContinuousChannelEP10OwnedArrayI12EventChannelEP10OwnedArrayI12SpikeChannelEP10OwnedArrayI10DataStreamEP10OwnedArrayI10DeviceInfoEP10OwnedArrayI19ConfigurationObjectE", "updateSettings::configurationObjects"], [6, 1, 1, "_CPPv414updateSettingsP10OwnedArrayI17ContinuousChannelEP10OwnedArrayI12EventChannelEP10OwnedArrayI12SpikeChannelEP10OwnedArrayI10DataStreamEP10OwnedArrayI10DeviceInfoEP10OwnedArrayI19ConfigurationObjectE", "updateSettings::continuousChannels"], [6, 1, 1, "_CPPv414updateSettingsP10OwnedArrayI17ContinuousChannelEP10OwnedArrayI12EventChannelEP10OwnedArrayI12SpikeChannelEP10OwnedArrayI10DataStreamEP10OwnedArrayI10DeviceInfoEP10OwnedArrayI19ConfigurationObjectE", "updateSettings::devices"], [6, 1, 1, "_CPPv414updateSettingsP10OwnedArrayI17ContinuousChannelEP10OwnedArrayI12EventChannelEP10OwnedArrayI12SpikeChannelEP10OwnedArrayI10DataStreamEP10OwnedArrayI10DeviceInfoEP10OwnedArrayI19ConfigurationObjectE", "updateSettings::eventChannels"], [6, 1, 1, "_CPPv414updateSettingsP10OwnedArrayI17ContinuousChannelEP10OwnedArrayI12EventChannelEP10OwnedArrayI12SpikeChannelEP10OwnedArrayI10DataStreamEP10OwnedArrayI10DeviceInfoEP10OwnedArrayI19ConfigurationObjectE", "updateSettings::sourceStreams"], [6, 1, 1, "_CPPv414updateSettingsP10OwnedArrayI17ContinuousChannelEP10OwnedArrayI12EventChannelEP10OwnedArrayI12SpikeChannelEP10OwnedArrayI10DataStreamEP10OwnedArrayI10DeviceInfoEP10OwnedArrayI19ConfigurationObjectE", "updateSettings::spikeChannels"], [9, 0, 1, "_CPPv412writeChannel6uint64iP5int16i", "writeChannel"], [9, 1, 1, "_CPPv412writeChannel6uint64iP5int16i", "writeChannel::channel"], [9, 1, 1, "_CPPv412writeChannel6uint64iP5int16i", "writeChannel::data"], [9, 1, 1, "_CPPv412writeChannel6uint64iP5int16i", "writeChannel::nSamples"], [9, 1, 1, "_CPPv412writeChannel6uint64iP5int16i", "writeChannel::startPos"], [9, 0, 1, "_CPPv419writeContinuousDataiiPKfPKdi", "writeContinuousData"], [9, 1, 1, "_CPPv419writeContinuousDataiiPKfPKdi", "writeContinuousData::dataBuffer"], [9, 1, 1, "_CPPv419writeContinuousDataiiPKfPKdi", "writeContinuousData::realChannel"], [9, 1, 1, "_CPPv419writeContinuousDataiiPKfPKdi", "writeContinuousData::size"], [9, 1, 1, "_CPPv419writeContinuousDataiiPKfPKdi", "writeContinuousData::timestampBuffer"], [9, 1, 1, "_CPPv419writeContinuousDataiiPKfPKdi", "writeContinuousData::writeChannel"], [9, 0, 1, "_CPPv49writeDataPKv6size_t", "writeData"], [9, 1, 1, "_CPPv49writeDataPKv6size_t", "writeData::data"], [9, 1, 1, "_CPPv49writeDataPKv6size_t", "writeData::nSamples"], [9, 0, 1, "_CPPv410writeEventiRK11EventPacket", "writeEvent"], [9, 1, 1, "_CPPv410writeEventiRK11EventPacket", "writeEvent::event"], [9, 1, 1, "_CPPv410writeEventiRK11EventPacket", "writeEvent::eventChannel"], [9, 0, 1, "_CPPv410writeSpikeiPK5Spike", "writeSpike"], [9, 1, 1, "_CPPv410writeSpikeiPK5Spike", "writeSpike::electrodeIndex"], [9, 1, 1, "_CPPv410writeSpikeiPK5Spike", "writeSpike::spike"], [9, 0, 1, "_CPPv422writeTimestampSyncText6uint165int64f6String", "writeTimestampSyncText"], [9, 1, 1, "_CPPv422writeTimestampSyncText6uint165int64f6String", "writeTimestampSyncText::sampleNumber"], [9, 1, 1, "_CPPv422writeTimestampSyncText6uint165int64f6String", "writeTimestampSyncText::sourceSampleRate"], [9, 1, 1, "_CPPv422writeTimestampSyncText6uint165int64f6String", "writeTimestampSyncText::streamId"], [9, 1, 1, "_CPPv422writeTimestampSyncText6uint165int64f6String", "writeTimestampSyncText::text"], [10, 0, 1, "_CPPv46xlabel6String", "xlabel"], [10, 1, 1, "_CPPv46xlabel6String", "xlabel::label"], [10, 0, 1, "_CPPv46ylabel6String", "ylabel"], [10, 1, 1, "_CPPv46ylabel6String", "ylabel::label"], [58, 2, 1, "init__", "__init__"], [58, 2, 1, "", "add_python_event"], [58, 2, 1, "", "handle_spike"], [58, 2, 1, "", "handle_ttl_event"], [58, 2, 1, "", "process"], [58, 2, 1, "", "start_acquisition"], [58, 2, 1, "", "start_recording"], [58, 2, 1, "", "stop_acquisition"], [58, 2, 1, "", "stop_recording"]]}, "objtypes": {"0": "cpp:function", "1": "cpp:functionParam", "2": "py:method"}, "objnames": {"0": ["cpp", "function", "C++ function"], "1": ["cpp", "functionParam", "C++ function parameter"], "2": ["py", "method", "Python method"]}, "titleterms": {"common": [0, 31, 34, 49], "extern": [0, 3, 4, 6, 35, 61, 75], "librari": [0, 3, 41, 75], "modifi": [0, 5, 58], "sourc": [0, 1, 3, 7, 20, 57, 72, 76, 79], "code": [0, 1, 3, 5, 48, 58, 75], "us": [0, 25, 28, 29, 30, 31, 34, 35, 39, 41, 44, 46, 47, 49, 51, 54, 58, 59, 61, 68, 75, 77, 79, 80], "plugin": [0, 1, 3, 4, 8, 10, 11, 12, 16, 17, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 78, 82], "header": [0, 34, 75, 79], "onli": [0, 23, 32], "class": [0, 5], "share": [0, 31], "dynam": 0, "compil": [1, 2, 3, 16, 17], "obtain": 1, "window": [1, 2, 21, 23, 53], "maco": [1, 2, 21, 23], "linux": [1, 2, 21, 23], "gui": [2, 8, 19, 23, 26, 34, 35, 43, 52, 77, 78, 79, 81], "creat": [3, 8, 16, 17, 31, 33, 37, 53, 58, 65, 67, 70, 72, 75], "new": [3, 12, 16, 17, 65, 82], "repositori": [3, 4, 16, 17], "edit": [3, 16, 17, 21], "openephyslib": [3, 16, 17], "cpp": [3, 16, 17], "processor": [3, 5, 8, 10, 16, 17, 21, 58, 67, 76, 81], "visual": [3, 10, 17, 20, 34, 40, 54, 56, 66, 75], "data": [3, 6, 7, 8, 9, 10, 15, 20, 25, 27, 28, 30, 31, 33, 34, 35, 36, 38, 39, 40, 41, 43, 47, 50, 51, 55, 58, 61, 62, 65, 67, 70, 71, 74, 75, 77, 78, 79, 80], "thread": [3, 6], "record": [3, 7, 9, 15, 20, 21, 59, 60, 61, 62, 76, 79, 80, 81], "engin": [3, 9, 76], "file": [3, 7, 9, 16, 17, 21, 23, 38, 50, 76, 77, 78, 79, 82], "ad": [3, 16, 17, 20], "includ": [3, 10], "your": [3, 16, 17, 26, 47], "distribut": 4, "set": [4, 8, 10, 14, 16, 17, 32, 50, 57, 58, 62, 72, 81, 82], "up": [4, 16, 17, 40, 58, 59, 66, 72], "artifactori": 4, "properti": 4, "configur": [4, 14, 15, 20, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 39, 40, 41, 42, 43, 44, 45, 46, 48, 50, 51, 52, 53, 54, 55, 56, 57, 59, 60, 61, 62, 64, 65, 66, 67, 68, 69, 70, 72, 73, 74, 75, 80, 81, 82], "depend": 4, "ani": [4, 40, 52, 73], "releas": 4, "host": [5, 12], "applic": [5, 12, 34, 35, 52, 75], "recommend": [5, 23, 32, 36, 45, 54, 55, 56, 64, 67, 69, 70], "workflow": 5, "organ": [5, 77, 78, 79], "program": 5, "structur": 5, "core": [5, 11], "user": [5, 21, 83], "interfac": [5, 21, 25, 35, 39, 43, 51, 59, 74, 75], "The": [5, 19], "graph": 5, "bring": 6, "signal": [6, 8, 14, 20, 21, 30, 32, 36, 40, 45, 54, 55, 56, 62, 64, 67, 69, 70, 81], "chain": [6, 8, 14, 20, 21, 30, 32, 36, 45, 54, 55, 56, 64, 67, 69, 70, 81], "from": [6, 25, 26, 27, 31, 34, 35, 36, 38, 39, 41, 43, 47, 50, 51, 52, 56, 59, 72, 74], "hardwar": [6, 14, 15, 22, 26, 34, 47, 50, 57], "stream": [7, 15, 25, 27, 28, 30, 31, 34, 36, 37, 39, 41, 43, 47, 50, 51, 55, 59, 61, 65, 67, 71, 72, 74, 82], "pre": 7, "continu": [7, 8, 9, 20, 27, 28, 32, 35, 37, 40, 41, 43, 44, 54, 55, 58, 61, 62, 64, 66, 67, 70, 75, 77, 78, 79, 80], "event": [7, 8, 9, 26, 29, 32, 35, 36, 42, 48, 52, 53, 55, 58, 61, 62, 64, 65, 67, 70, 71, 75, 77, 78, 79, 80, 82], "reader": [7, 38], "ar": [8, 9, 10, 33, 61, 79], "kei": 8, "element": 8, "": [8, 27, 31, 40, 78, 82], "thei": 8, "respond": [8, 16, 17], "updat": [8, 12, 17, 50], "spike": [8, 9, 17, 20, 35, 44, 53, 56, 58, 62, 65, 67, 68, 69, 70, 75, 77, 78, 79, 80], "insid": 8, "process": [8, 15, 32, 43, 70, 82], "method": [8, 10, 16, 17, 53], "overview": [8, 10, 11, 27], "constructor": 8, "genericprocessor": 8, "genericeditor": 8, "start": [8, 81], "stop": [8, 81], "acquisit": [8, 22, 24, 25, 50, 51, 79, 81], "send": [8, 35, 52, 75, 81], "receiv": [8, 34, 35], "messag": [8, 21, 52, 56, 75, 81], "paramet": [8, 16, 17, 28, 67], "defin": [8, 9, 17, 53, 54], "access": 8, "editor": [8, 10, 16, 17], "valu": [8, 16, 17], "chang": [8, 16, 17, 69], "save": [8, 10, 30, 50, 62], "load": [8, 10, 15, 30, 38, 50, 58], "custom": [8, 16, 34, 37, 50, 51, 58], "how": [9, 16], "written": [9, 58], "disk": 9, "npy": 9, "sequenti": 9, "block": 9, "canva": [10, 17], "displai": [10, 40, 42, 53, 66, 69, 71], "interact": 10, "plot": 10, "open": [11, 19, 22, 24, 25, 34, 43, 51, 52, 57, 77, 79], "ephi": [11, 19, 22, 24, 25, 34, 43, 51, 52, 77, 79], "api": [11, 43], "log": [11, 21], "servic": 11, "develop": [12, 57], "guid": 12, "report": 12, "bug": 12, "build": [12, 14, 20], "other": [12, 16, 17, 22, 34, 36, 38, 48, 73], "project": 12, "faq": 13, "measur": [14, 37], "close": [14, 25, 54, 59, 72, 81], "loop": [14, 25, 54, 59, 72], "latenc": [14, 37, 42, 65], "requir": [14, 22, 47, 50, 57], "arduino": [14, 26], "connect": [14, 47, 48, 50], "devic": [14, 26, 41, 47], "system": [14, 50, 74], "affect": 14, "next": [14, 16], "step": [14, 16], "synchron": [15, 36, 47, 50, 62, 80], "gener": [15, 32, 33, 57, 68, 76, 80], "principl": 15, "softwar": [15, 26, 34], "monitor": [15, 27, 40, 69, 75, 82], "question": 15, "To": 16, "make": [16, 17, 33, 73, 75], "own": [16, 17], "ui": [16, 17], "compon": [16, 17, 21], "slider": 16, "combobox": 16, "option": [16, 21, 27, 40, 53, 80], "combo": 17, "box": [17, 68], "popul": 17, "push": 17, "calcul": [17, 54], "rate": [17, 25, 39, 44, 51, 56, 59, 74], "tutori": 18, "befor": [19, 40], "you": 19, "begin": 19, "about": [19, 35, 41, 81], "philosopi": 19, "behind": 19, "strength": 19, "weak": 19, "thing": 19, "keep": 19, "mind": [19, 79], "default": [20, 50, 77, 78, 82], "node": [20, 62, 80], "acquir": [20, 47], "detect": [20, 29, 61, 64, 67, 69], "listen": 20, "explor": [21, 56], "main": [21, 40], "1": [21, 78], "list": [21, 41], "2": [21, 78], "3": [21, 40], "center": 21, "4": 21, "control": [21, 26, 34, 48, 50, 52, 53, 59, 60, 61, 62, 81], "panel": [21, 71], "5": 21, "global": [21, 80], "6": [21, 82], "viewport": 21, "menu": 21, "item": 21, "view": [21, 50], "help": [21, 31], "debug": 21, "consol": 21, "For": 22, "board": [22, 24, 25, 39, 51], "comput": [22, 27], "spec": 22, "neuropixel": [22, 29, 47, 49, 50, 56], "probe": [22, 29, 49, 50, 56], "instal": [23, 24, 25, 29, 32, 33, 34, 35, 37, 39, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 52, 53, 54, 56, 57, 58, 59, 60, 64, 65, 66, 68, 71, 72, 73, 75, 76, 78], "via": [23, 48, 56, 78], "zip": 23, "ubuntu": 23, "portag": 23, "gentoo": 23, "all": [23, 36, 70, 79, 81], "distro": 23, "dmg": 23, "acq": 24, "output": [24, 25, 26, 27, 37, 39, 44, 51, 55, 57, 59, 60, 65, 74], "trigger": [24, 32, 40, 46, 53, 55, 57, 60, 61], "digit": [24, 26, 47, 60], "an": [24, 25, 26, 33, 39, 40, 44, 51, 53, 55, 57, 59, 60, 69], "opal": [24, 25], "kelli": [24, 25], "fpga": [24, 25, 51], "upgrad": [24, 25, 29, 32, 33, 34, 35, 37, 39, 41, 42, 43, 44, 46, 47, 48, 49, 50, 51, 52, 53, 54, 56, 57, 58, 59, 60, 64, 65, 66, 68, 71, 72, 73, 75, 76], "headstag": [25, 39, 50, 51, 59, 74], "16": [25, 39, 51, 59], "channel": [25, 27, 28, 29, 30, 31, 32, 33, 37, 39, 40, 43, 44, 46, 51, 54, 56, 57, 59, 62, 64, 66, 70, 73, 79, 80], "sampl": [25, 38, 39, 49, 51, 59, 74], "select": [25, 27, 28, 39, 40, 44, 50, 51, 54, 59, 62, 74], "bandwidth": [25, 39, 46, 51, 59, 74], "turn": [25, 39, 51, 59], "aux": [25, 39, 51, 59], "adc": [25, 39, 51, 59, 74], "audio": [25, 27, 39, 40, 51, 59, 69, 74, 82], "nois": [25, 31, 39, 51, 59, 74], "slicer": [25, 39, 51, 59, 74], "clock": [25, 39, 51, 59], "divid": [25, 39, 51, 59], "dsp": [25, 39, 51, 59, 74], "button": [25, 39, 51, 59, 61, 74], "dac": [25, 39, 51, 59, 74], "ttl": [25, 32, 35, 39, 42, 48, 51, 52, 53, 59, 61, 64, 71, 74], "high": [25, 28, 29, 39, 51, 59, 74, 79], "pass": [25, 39, 51, 59, 74], "filter": [25, 28, 39, 51, 59, 74], "settl": [25, 39, 51, 59, 74], "led": [25, 51], "imped": [25, 39, 51, 59, 74], "test": [25, 29, 39, 50, 51, 59, 74], "feedback": [25, 46, 59], "allow": [26, 43, 45, 61, 70], "within": [26, 30, 54], "state": 26, "pin": 26, "run": 26, "firmata": 26, "provid": [26, 52], "quick": [26, 34], "easi": 26, "wai": [26, 34, 52], "translat": [26, 36, 82], "inform": [26, 29, 35, 41, 52, 64, 72, 81], "compat": [26, 47, 50, 77], "incom": [27, 28, 43, 53, 58, 62, 70], "work": [27, 28, 30, 31, 52, 53, 55, 67, 71], "multipl": [27, 28, 30, 31, 32, 45, 47, 55, 67, 71, 75, 80], "bandpass": 28, "between": [28, 34, 42, 50], "specifi": [28, 32, 54], "low": [28, 37, 65], "cutoff": 28, "frequenc": [28, 54, 56], "hz": [28, 55], "2nd": 28, "order": [28, 53, 56], "butterworth": 28, "maxim": [28, 77], "flat": [28, 77], "respons": 28, "passband": [28, 54], "detail": [28, 77, 78, 79], "cnn": 29, "rippl": [29, 64], "1d": 29, "convolut": 29, "neural": [29, 46], "network": [29, 48], "hippocamp": [29, 64], "across": [29, 31, 44], "8": [29, 55, 66], "simultan": [29, 47], "thi": [29, 33, 34, 40, 41, 46, 47, 52, 77, 78, 79], "ha": 29, "been": [29, 69], "linear": [29, 56], "arrai": 29, "densiti": 29, "silicon": 29, "can": [29, 32, 34, 35, 40, 46, 47, 52, 54, 56, 61, 67, 79], "onlin": [29, 47, 53], "offlin": [29, 33, 47], "more": [29, 41, 64], "map": 30, "reorder": 30, "remov": [30, 31], "averag": [31, 44, 46, 49], "refer": [31, 49, 50, 73], "electrod": [31, 44, 67, 69, 79], "cross": [32, 54, 55], "detector": [32, 54, 55, 64, 67, 68], "emit": [32, 55, 64], "when": [32, 55, 64], "threshold": [32, 40, 67], "level": 32, "each": [32, 40, 79], "one": [32, 36, 40, 43, 45, 64], "input": [32, 37, 38, 47, 54, 61], "time": [32, 33, 40, 43, 44, 53, 56, 66], "place": 32, "seri": 32, "mani": 32, "desir": 32, "criteria": 32, "durat": 32, "snapshot": 33, "convert": [33, 52], "short": 33, "segment": [33, 40], "imag": 33, "x": [33, 56, 78, 82], "Such": 33, "typic": [33, 54], "assess": 33, "qualiti": [33, 40], "possibl": [33, 73, 75], "them": [33, 67], "dure": [33, 40, 79], "experi": [33, 40], "usag": [33, 42, 45, 49, 53, 70, 71], "socket": [34, 35], "format": [34, 35, 62, 77, 78, 79, 80, 82], "tcp": 34, "implement": 34, "particular": 34, "A": [34, 43, 49], "case": 34, "i": [34, 35, 64, 77, 78, 79], "bonsai": [34, 52, 72], "intend": 34, "third": 34, "parti": 34, "below": 34, "setup": [34, 65], "which": [34, 55], "extend": 34, "carri": 34, "same": 34, "In": 34, "variabl": 34, "remot": [34, 48, 50, 53, 62, 81], "broadcast": [35, 81], "zeromq": [35, 75], "transmit": 35, "lightweight": 35, "ongo": 35, "full": 35, "need": 35, "zmq": [35, 75], "should": 35, "instead": [35, 61], "json": [35, 50, 77], "packet": [35, 75], "raw": 35, "binari": [35, 77], "python": [35, 48, 58, 77, 78, 79], "copi": [36, 50, 70], "expect": 36, "behavior": 36, "falcon": 37, "client": 37, "read": [38, 47, 65, 67, 77, 78, 79], "local": [38, 40], "scrub": 38, "intan": [39, 59, 60], "rhd": [39, 59, 60], "usb": [39, 47], "lfp": 40, "viewer": [40, 56, 66, 69], "stand": 40, "field": 40, "potenti": 40, "type": [40, 53, 62, 76], "It": [40, 54, 55, 61, 78, 79], "essenti": 40, "overal": 40, "either": 40, "side": 40, "stack": 40, "top": 40, "anoth": 40, "layout": [40, 53], "addit": 40, "singl": [40, 46, 79], "mode": [40, 50, 56, 61], "lsl": 41, "inlet": 41, "lab": 41, "layer": 41, "protocol": 41, "see": 41, "document": 41, "support": 41, "histogram": [42, 53], "two": [42, 70], "line": [42, 60], "matlab": [43, 48, 77, 78, 79], "live": 43, "session": 43, "seamless": 43, "real": [43, 66], "mean": [44, 55], "estim": [44, 54], "exponenti": 44, "weight": [44, 46], "move": 44, "tempor": 44, "adjust": [44, 67], "constant": 44, "result": 44, "onto": 44, "overwrit": 44, "its": 44, "content": 44, "merger": 45, "combin": [45, 54, 68, 73], "multi": 46, "band": [46, 54, 56], "integr": 46, "mix": 46, "appli": 46, "roll": 46, "interest": [46, 54], "base": [46, 47, 50, 61, 68, 72, 78, 82], "oscil": [46, 55], "ni": 47, "daqmx": 47, "analog": 47, "nation": 47, "instrument": 47, "instanc": 47, "sever": 47, "pxi": [47, 50], "pci": 47, "tandem": 47, "neuropix": 47, "parallel": 47, "nidaq": 47, "comparison": 47, "6133": 47, "pxie": 47, "6341": 47, "6521": 47, "pcie": 47, "6321": 47, "6001": 47, "add": [48, 75], "command": 48, "exampl": [48, 75], "car": 49, "optim": 49, "pattern": 49, "troubleshoot": 50, "calibr": 50, "activ": 50, "imro": 50, "probeinterfac": 50, "name": [50, 79, 82], "simul": 50, "built": [50, 82], "self": 50, "basest": 50, "firmwar": 50, "oe": 51, "design": [51, 52, 55, 79], "osc": 52, "sound": 52, "wa": [52, 55], "simpl": 52, "psth": 53, "align": 53, "peri": 53, "stimulu": 53, "unlimit": 53, "number": [53, 82], "condit": 53, "size": [53, 69], "sorter": [53, 68], "phase": [54, 55], "perform": 54, "specif": [54, 55, 78, 81], "stimul": [54, 72], "arriv": 55, "realli": 55, "peak": 55, "fall": 55, "zero": 55, "trough": 55, "rise": 55, "origin": [55, 79], "theta": 55, "mous": 55, "hippocampu": 55, "heatmap": 56, "show": 56, "rm": 56, "power": [56, 66], "differ": 56, "render": 56, "anatomi": 56, "overlai": 56, "pinpoint": 56, "trajectori": 56, "config": 56, "puls": 57, "pal": 57, "train": 57, "sold": 57, "sanwork": 57, "gate": 57, "handl": 58, "environ": 58, "interpret": 58, "path": 58, "modul": 58, "limit": 58, "rec": [59, 60], "1024": 59, "automat": 61, "toggl": [61, 71], "off": 61, "manual": [61, 68, 83], "press": 61, "directori": [62, 79], "rhythm": 63, "algorithm": [64, 67], "river": 65, "redi": 65, "databas": 65, "veri": 65, "background": 65, "tabl": 65, "out": 65, "spectrum": 66, "spectrogram": 66, "packag": 67, "downstream": 67, "sort": 68, "drawn": 68, "waveform": 68, "space": 68, "boundari": 68, "pca": 68, "must": [68, 78], "have": 69, "upstream": 69, "splitter": 70, "independ": 70, "along": 70, "branch": 70, "understand": 71, "track": 72, "enabl": 72, "posit": 72, "roi": 72, "virtual": 73, "xdaq": 74, "advanc": 75, "ons": 75, "opeth": 75, "heartbeat": 75, "envelop": 75, "featur": 76, "downgrad": 76, "uninstal": 76, "store": [77, 79], "everyth": 77, "els": 77, "numpi": 77, "take": 77, "advantag": 77, "wide": 77, "standard": 77, "exist": 77, "futur": 77, "analysi": 77, "tool": 77, "text": 77, "nwb": 78, "replac": 78, "deprec": 78, "0": [78, 82], "sinc": 78, "avail": [78, 80], "download": 78, "redund": 79, "so": 79, "readili": 79, "recov": 79, "even": 79, "crash": 79, "howev": 79, "becaus": 79, "separ": 79, "doesn": 79, "t": 79, "scale": 79, "count": 79, "identifi": 79, "get": 81, "what": 82, "version": 82, "fewer": 82, "consist": 82, "convent": 82, "http": 82, "server": 82}, "envversion": {"sphinx.domains.c": 2, "sphinx.domains.changeset": 1, "sphinx.domains.citation": 1, "sphinx.domains.cpp": 8, "sphinx.domains.index": 1, "sphinx.domains.javascript": 2, "sphinx.domains.math": 2, "sphinx.domains.python": 3, "sphinx.domains.rst": 2, "sphinx.domains.std": 2, "sphinx.ext.todo": 2, "sphinx": 57}, "alltitles": {"Common and external libraries": [[0, "common-and-external-libraries"]], "Common libraries": [[0, "common-libraries"]], "Modifying the source code": [[0, "modifying-the-source-code"]], "Using the common library in a plugin": [[0, "using-the-common-library-in-a-plugin"]], "External libraries": [[0, "external-libraries"]], "Header-only / Class Libraries": [[0, "header-only-class-libraries"]], "Shared / dynamic Libraries": [[0, "shared-dynamic-libraries"]], "Compiling plugins": [[1, "compiling-plugins"]], "Obtaining the source code": [[1, "obtaining-the-source-code"]], "Windows": [[1, "windows"], [2, "windows"], [21, "windows"], [21, "id3"], [23, "windows"]], "macOS": [[1, "macos"], [2, "macos"], [21, "macos"], [21, "id2"], [23, "macos"]], "Linux": [[1, "linux"], [2, "linux"], [21, "linux"], [21, "id1"], [23, "linux"]], "Compiling the GUI": [[2, "compiling-the-gui"]], "Creating a new plugin": [[3, "creating-a-new-plugin"]], "Creating a new plugin repository": [[3, "creating-a-new-plugin-repository"], [16, "creating-a-new-plugin-repository"], [17, "creating-a-new-plugin-repository"]], "Editing OpenEphysLib.cpp": [[3, "editing-openephyslib-cpp"]], "Processor and Visualizer Plugins": [[3, "processor-and-visualizer-plugins"]], "Data Thread Plugins": [[3, "data-thread-plugins"]], "Record Engine Plugins": [[3, "record-engine-plugins"]], "File Source Plugins": [[3, "file-source-plugins"]], "Adding source code": [[3, "adding-source-code"]], "Including external libraries": [[3, "including-external-libraries"]], "Compiling your plugin": [[3, "compiling-your-plugin"], [16, "compiling-your-plugin"], [17, "compiling-your-plugin"]], "Distributing plugins": [[4, "distributing-plugins"]], "Setting up the plugin repository": [[4, "setting-up-the-plugin-repository"]], "Setting up the Artifactory repository": [[4, "setting-up-the-artifactory-repository"]], "Properties for Artifactory repository": [[4, "id1"]], "Configuring external dependencies (if any)": [[4, "configuring-external-dependencies-if-any"]], "Releasing the plugin": [[4, "releasing-the-plugin"]], "Modifying the host application": [[5, "modifying-the-host-application"]], "Recommended workflow": [[5, "recommended-workflow"]], "Code organization": [[5, "code-organization"]], "Program structure": [[5, "program-structure"]], "Core application classes": [[5, "core-application-classes"]], "User interface classes": [[5, "user-interface-classes"]], "The processor graph": [[5, "the-processor-graph"]], "Data Threads": [[6, "data-threads"]], "Data Threads bring data into the signal chain from external hardware.": [[6, "id1"]], "File Sources": [[7, "file-sources"], [76, "file-sources"]], "File Sources stream pre-recorded continuous data and events into the File Reader.": [[7, "id1"]], "Processor Plugins": [[8, "processor-plugins"], [76, "processor-plugins"]], "Processor plugins are the key elements of the GUI\u2019s signal chain; they respond to and update continuous data, spikes, and events inside their process() method.": [[8, "id1"]], "Overview": [[8, "overview"], [10, "overview"], [11, "overview"], [27, "overview"]], "Key methods": [[8, "key-methods"]], "Constructors": [[8, "constructors"]], "GenericProcessor constructor": [[8, "genericprocessor-constructor"]], "GenericEditor constructor": [[8, "genericeditor-constructor"]], "Updating plugin settings": [[8, "updating-plugin-settings"]], "Starting/stopping acquisition": [[8, "starting-stopping-acquisition"]], "Processing data": [[8, "processing-data"]], "Continuous data": [[8, "continuous-data"], [75, "continuous-data"]], "Spike and event data": [[8, "spike-and-event-data"]], "Sending and receiving messages": [[8, "sending-and-receiving-messages"]], "Plugin parameters": [[8, "plugin-parameters"]], "Defining parameters": [[8, "defining-parameters"]], "Accessing parameters": [[8, "accessing-parameters"]], "Creating parameter editors": [[8, "creating-parameter-editors"]], "Responding to parameter value changes": [[8, "responding-to-parameter-value-changes"], [16, "responding-to-parameter-value-changes"], [17, "responding-to-parameter-value-changes"]], "Saving and loading custom parameters": [[8, "saving-and-loading-custom-parameters"]], "Record Engines": [[9, "record-engines"], [76, "record-engines"]], "Record Engines define how continuous data, events, and spikes are written to disk.": [[9, "id1"]], "NPY Files": [[9, "npy-files"]], "Sequential Block Files": [[9, "sequential-block-files"]], "Visualizer Plugins": [[10, "visualizer-plugins"]], "Visualizers are Processor Plugins that include a canvas for displaying data.": [[10, "id1"]], "Visualizer Editor methods": [[10, "visualizer-editor-methods"]], "Visualizer methods": [[10, "visualizer-methods"]], "Saving/loading settings": [[10, "saving-loading-settings"]], "Interactive Plots": [[10, "interactive-plots"]], "Open Ephys Plugin API": [[11, "open-ephys-plugin-api"]], "Logging": [[11, "logging"]], "Core Services": [[11, "core-services"]], "Developer Guide": [[12, "developer-guide"]], "Reporting bugs": [[12, "reporting-bugs"]], "Building a new plugin": [[12, "building-a-new-plugin"]], "Updating the host application": [[12, "updating-the-host-application"]], "Other projects": [[12, "other-projects"]], "FAQs": [[13, "faqs"]], "Measuring Closed-Loop Latency": [[14, "measuring-closed-loop-latency"]], "Required hardware": [[14, "required-hardware"]], "Configuring the Arduinos": [[14, "configuring-the-arduinos"]], "Connecting the devices": [[14, "connecting-the-devices"]], "Building the signal chain": [[14, "building-the-signal-chain"]], "Measuring system latency": [[14, "measuring-system-latency"]], "Settings that affect latency": [[14, "settings-that-affect-latency"]], "Next steps": [[14, "next-steps"], [16, "next-steps"]], "Synchronizing Data Streams": [[15, "synchronizing-data-streams"]], "General principles of synchronization": [[15, "general-principles-of-synchronization"]], "Hardware Configuration": [[15, "hardware-configuration"]], "Software Configuration": [[15, "software-configuration"]], "Monitoring and Recording": [[15, "monitoring-and-recording"]], "Loading and Processing": [[15, "loading-and-processing"]], "Questions?": [[15, "questions"]], "How To Make Your Own Plugin": [[16, "how-to-make-your-own-plugin"]], "Editing OpenEphysLib.cpp and other files": [[16, "editing-openephyslib-cpp-and-other-files"], [17, "editing-openephyslib-cpp-and-other-files"]], "Setting up the Processor methods": [[16, "setting-up-the-processor-methods"], [17, "setting-up-the-processor-methods"]], "Adding UI components to the editor": [[16, "adding-ui-components-to-the-editor"], [17, "adding-ui-components-to-the-editor"]], "Creating a slider parameter editor": [[16, "creating-a-slider-parameter-editor"]], "Creating a ComboBox parameter editor": [[16, "creating-a-combobox-parameter-editor"]], "Creating a custom parameter editor (optional)": [[16, "creating-a-custom-parameter-editor-optional"]], "Making Your Own Visualizer Plugin": [[17, "making-your-own-visualizer-plugin"]], "Creating a Combo Box": [[17, "creating-a-combo-box"]], "Populating the Combo Box": [[17, "populating-the-combo-box"]], "Defining plugin parameters": [[17, "defining-plugin-parameters"]], "Creating the Visualizer": [[17, "creating-the-visualizer"]], "Updating Canvas parameters": [[17, "updating-canvas-parameters"]], "Pushing spikes to the canvas": [[17, "pushing-spikes-to-the-canvas"]], "Calculating the spike rate": [[17, "calculating-the-spike-rate"]], "Tutorials": [[18, "tutorials"]], "Before you begin": [[19, "before-you-begin"]], "About Open Ephys": [[19, "about-open-ephys"]], "The philosopy behind the GUI": [[19, "the-philosopy-behind-the-gui"]], "Strengths and weaknesses": [[19, "strengths-and-weaknesses"]], "Things to keep in mind": [[19, "things-to-keep-in-mind"]], "Building a signal chain": [[20, "building-a-signal-chain"]], "Default configurations": [[20, "default-configurations"], [82, "default-configurations"]], "Adding a data source": [[20, "adding-a-data-source"]], "Adding a Record Node": [[20, "adding-a-record-node"]], "Visualizing continuous signals": [[20, "visualizing-continuous-signals"]], "Acquiring data": [[20, "acquiring-data"]], "Detecting spikes": [[20, "detecting-spikes"]], "Visualizing spikes": [[20, "visualizing-spikes"]], "Recording and listening to spikes": [[20, "recording-and-listening-to-spikes"]], "Exploring the user interface": [[21, "exploring-the-user-interface"]], "Main components": [[21, "main-components"]], "1. Processor List": [[21, "processor-list"]], "2. Signal Chain": [[21, "signal-chain"]], "3. Message Center": [[21, "message-center"]], "4. Control Panel": [[21, "control-panel"]], "5. Global Recording Options": [[21, "global-recording-options"]], "6. Viewport": [[21, "viewport"]], "Menu items": [[21, "menu-items"]], "File": [[21, "file"]], "Edit": [[21, "edit"]], "View": [[21, "view"]], "Help": [[21, "help"]], "Debug console": [[21, "debug-console"]], "Log files": [[21, "log-files"]], "Hardware requirements": [[22, "hardware-requirements"], [50, "hardware-requirements"]], "For the Open Ephys acquisition board": [[22, "for-the-open-ephys-acquisition-board"]], "Computer specs": [[22, "computer-specs"], [22, "id1"]], "Other hardware": [[22, "other-hardware"], [22, "id2"]], "For Neuropixels probes": [[22, "for-neuropixels-probes"]], "Installing the GUI": [[23, "installing-the-gui"]], "Via installer (recommended)": [[23, "via-installer-recommended"]], "Via .zip file": [[23, "via-zip-file"], [23, "id1"]], "Via installer (Ubuntu only)": [[23, "via-installer-ubuntu-only"]], "Via Portage (Gentoo only)": [[23, "via-portage-gentoo-only"]], "Via .zip file (all distros)": [[23, "via-zip-file-all-distros"]], "Via DMG (recommended)": [[23, "via-dmg-recommended"]], "Acq Board Output": [[24, "acq-board-output"]], "Triggers digital outputs of an Open Ephys acquisition board with an Opal Kelly FPGA.": [[24, "id1"]], "Installing and upgrading": [[24, "installing-and-upgrading"], [25, "installing-and-upgrading"], [29, "installing-and-upgrading"], [32, "installing-and-upgrading"], [33, "installing-and-upgrading"], [34, "installing-and-upgrading"], [35, "installing-and-upgrading"], [37, "installing-and-upgrading"], [39, "installing-and-upgrading"], [41, "installing-and-upgrading"], [42, "installing-and-upgrading"], [43, "installing-and-upgrading"], [44, "installing-and-upgrading"], [46, "installing-and-upgrading"], [47, "installing-and-upgrading"], [48, "installing-and-upgrading"], [49, "installing-and-upgrading"], [50, "installing-and-upgrading"], [51, "installing-and-upgrading"], [52, "installing-and-upgrading"], [53, "installing-and-upgrading"], [54, "installing-and-upgrading"], [56, "installing-and-upgrading"], [57, "installing-and-upgrading"], [58, "installing-and-upgrading"], [59, "installing-and-upgrading"], [60, "installing-and-upgrading"], [64, "installing-and-upgrading"], [65, "installing-and-upgrading"], [66, "installing-and-upgrading"], [68, "installing-and-upgrading"], [71, "installing-and-upgrading"], [72, "installing-and-upgrading"], [73, "installing-and-upgrading"], [75, "installing-and-upgrading"]], "Plugin configuration": [[24, "plugin-configuration"], [25, "plugin-configuration"], [26, "plugin-configuration"], [27, "plugin-configuration"], [28, "plugin-configuration"], [29, "plugin-configuration"], [30, "plugin-configuration"], [31, "plugin-configuration"], [33, "plugin-configuration"], [35, "plugin-configuration"], [36, "plugin-configuration"], [39, "plugin-configuration"], [40, "plugin-configuration"], [42, "plugin-configuration"], [43, "plugin-configuration"], [44, "plugin-configuration"], [45, "plugin-configuration"], [48, "plugin-configuration"], [51, "plugin-configuration"], [52, "plugin-configuration"], [53, "plugin-configuration"], [54, "plugin-configuration"], [55, "plugin-configuration"], [56, "plugin-configuration"], [57, "plugin-configuration"], [59, "plugin-configuration"], [60, "plugin-configuration"], [61, "plugin-configuration"], [62, "plugin-configuration"], [64, "plugin-configuration"], [65, "plugin-configuration"], [67, "plugin-configuration"], [68, "plugin-configuration"], [69, "plugin-configuration"], [70, "plugin-configuration"], [74, "plugin-configuration"]], "Acquisition Board": [[25, "acquisition-board"]], "Streams data from an Open Ephys acquisition board with an Opal Kelly FPGA.": [[25, "id1"]], "Headstages": [[25, "headstages"], [39, "headstages"], [51, "headstages"], [59, "headstages"], [74, "headstages"]], "Using 16-channel headstages": [[25, "using-16-channel-headstages"], [39, "using-16-channel-headstages"], [51, "using-16-channel-headstages"], [59, "using-16-channel-headstages"]], "Sample rate selection": [[25, "sample-rate-selection"], [39, "sample-rate-selection"], [51, "sample-rate-selection"], [59, "sample-rate-selection"], [74, "sample-rate-selection"]], "Bandwidth interface": [[25, "bandwidth-interface"], [39, "bandwidth-interface"], [51, "bandwidth-interface"], [59, "bandwidth-interface"], [74, "bandwidth-interface"]], "Turning on AUX channels": [[25, "turning-on-aux-channels"], [39, "turning-on-aux-channels"], [51, "turning-on-aux-channels"], [59, "turning-on-aux-channels"]], "Turning on ADC channels": [[25, "turning-on-adc-channels"], [39, "turning-on-adc-channels"], [51, "turning-on-adc-channels"], [59, "turning-on-adc-channels"]], "Audio output": [[25, "audio-output"], [39, "audio-output"], [51, "audio-output"], [59, "audio-output"], [74, "audio-output"]], "Noise slicer": [[25, "noise-slicer"], [39, "noise-slicer"], [51, "noise-slicer"], [59, "noise-slicer"], [74, "noise-slicer"]], "Clock divider": [[25, "clock-divider"], [39, "clock-divider"], [51, "clock-divider"], [59, "clock-divider"]], "DSP button": [[25, "dsp-button"], [39, "dsp-button"], [51, "dsp-button"], [59, "dsp-button"], [74, "dsp-button"]], "DAC TTLs": [[25, "dac-ttls"], [39, "dac-ttls"], [51, "dac-ttls"], [59, "dac-ttls"], [74, "dac-ttls"]], "DAC High-pass filter": [[25, "dac-high-pass-filter"], [39, "dac-high-pass-filter"], [51, "dac-high-pass-filter"], [59, "dac-high-pass-filter"], [74, "dac-high-pass-filter"]], "TTL settle": [[25, "ttl-settle"], [39, "ttl-settle"], [51, "ttl-settle"], [59, "ttl-settle"], [74, "ttl-settle"]], "LED button": [[25, "led-button"], [51, "led-button"]], "Impedance testing": [[25, "impedance-testing"], [39, "impedance-testing"], [51, "impedance-testing"], [59, "impedance-testing"], [74, "impedance-testing"]], "Closed-loop feedback": [[25, "closed-loop-feedback"], [59, "closed-loop-feedback"]], "Arduino Output": [[26, "arduino-output"]], "Allows events from within the GUI to control the state of digital output pins on an Arduino running Firmata. Provides a quick and easy way to translate information from software to hardware.": [[26, "id1"]], "Compatible devices": [[26, "compatible-devices"]], "Configuring your Arduino": [[26, "configuring-your-arduino"]], "Audio Monitor": [[27, "audio-monitor"], [69, "audio-monitor"]], "Streams data from incoming continuous channels to the computer\u2019s audio output.": [[27, "id1"]], "Channel selection": [[27, "channel-selection"], [54, "channel-selection"]], "Output options": [[27, "output-options"]], "Working with multiple data streams": [[27, "working-with-multiple-data-streams"], [28, "working-with-multiple-data-streams"], [30, "working-with-multiple-data-streams"], [31, "working-with-multiple-data-streams"], [55, "working-with-multiple-data-streams"], [67, "working-with-multiple-data-streams"], [71, "working-with-multiple-data-streams"]], "Bandpass Filter": [[28, "bandpass-filter"]], "Filters incoming continuous data between the specified low cutoff and high cutoff frequencies (Hz) using a 2nd-order Butterworth filter (maximally flat frequency response in the passband).": [[28, "id1"]], "Filter parameters": [[28, "filter-parameters"]], "Selecting channels": [[28, "selecting-channels"]], "Filter details": [[28, "filter-details"]], "CNN Ripple": [[29, "cnn-ripple"]], "Uses a 1D convolutional neural network to detect hippocampal ripple events across 8 channels simultaneously. This plugin has been tested with linear arrays, high-density silicon probes, and Neuropixels, and can be used for online or offline ripple detection.": [[29, "id1"]], "More information": [[29, "more-information"], [64, "more-information"]], "Channel Map": [[30, "channel-map"]], "Used to reorder and remove channels within the signal chain.": [[30, "id1"]], "Reordering channels": [[30, "reordering-channels"]], "Removing channels": [[30, "removing-channels"]], "Saving and loading channel mappings": [[30, "saving-and-loading-channel-mappings"]], "Common Average Reference": [[31, "common-average-reference"]], "Used to create a reference from the average of multiple channels. Helpful for removing noise that\u2019s shared across electrodes.": [[31, "id1"]], "Crossing Detector": [[32, "crossing-detector"]], "Emits a TTL event when a continuous channel crosses a specified threshold level. Each Crossing Detector can only process one input channel at a time, but multiple detectors can be placed in series to trigger on as many channels as desired.": [[32, "id1"]], "Recommended signal chain": [[32, "recommended-signal-chain"], [36, "recommended-signal-chain"], [54, "recommended-signal-chain"], [55, "recommended-signal-chain"], [56, "recommended-signal-chain"], [64, "recommended-signal-chain"], [67, "recommended-signal-chain"], [69, "recommended-signal-chain"]], "Plugin Configuration": [[32, "plugin-configuration"], [34, "plugin-configuration"], [37, "plugin-configuration"], [41, "plugin-configuration"], [46, "plugin-configuration"], [66, "plugin-configuration"], [72, "plugin-configuration"], [73, "plugin-configuration"], [75, "plugin-configuration"]], "General settings": [[32, "general-settings"]], "Threshold level": [[32, "threshold-level"]], "Event criteria": [[32, "event-criteria"]], "Event duration": [[32, "event-duration"]], "Data Snapshot": [[33, "data-snapshot"]], "Converts a short segment of data into an image (time x channels). Such images are typically created offline to assess data quality, but this plugin makes it possible to generate them during an experiment.": [[33, "id1"]], "Plugin usage": [[33, "plugin-usage"], [42, "plugin-usage"], [49, "plugin-usage"], [53, "plugin-usage"]], "Ephys Socket": [[34, "ephys-socket"]], "Receives formatted data from a TCP socket that implements a particular header format. A common use case is to receive data streamed from Bonsai. This is intended to be a quick way to stream ephys data from a third-party application and visualize in the Open Ephys GUI. Below is a way to setup streaming between Bonsai and the Open Ephys GUI, which can be extended to other software and/or hardware that carry the same header format.": [[34, "id1"]], "In Bonsai": [[34, "in-bonsai"]], "In Open Ephys": [[34, "in-open-ephys"]], "Header Format for Custom Data Streams": [[34, "header-format-for-custom-data-streams"]], "Ephys Socket header variables": [[34, "id2"]], "Remote Control": [[34, "remote-control"]], "Event Broadcaster": [[35, "event-broadcaster"]], "Sends events from the GUI to external applications using a ZeroMQ socket. Can be used to transmit \u201clightweight\u201d information about ongoing spikes/events; if the full continuous data is needed, the ZMQ Interface should be used instead.": [[35, "id1"]], "JSON Packet Format": [[35, "json-packet-format"]], "Spikes": [[35, "spikes"], [77, "spikes"], [78, "spikes"], [79, "spikes"]], "TTL Events": [[35, "ttl-events"], [48, "ttl-events"]], "Raw Binary Packet Format": [[35, "raw-binary-packet-format"]], "Receiving data in Python": [[35, "receiving-data-in-python"]], "Event Translator": [[36, "event-translator"]], "Copies events from one data stream to all other synchronized streams.": [[36, "id1"]], "Expected behavior": [[36, "expected-behavior"]], "Falcon Output": [[37, "falcon-output"]], "Streams continuous channels with low latency.": [[37, "id1"]], "Creating a custom client": [[37, "creating-a-custom-client"]], "Falcon Input": [[37, "falcon-input"]], "Latency Measurements": [[37, "latency-measurements"]], "File Reader": [[38, "file-reader"]], "Reads data from a local file.": [[38, "id1"]], "Loading Data": [[38, "loading-data"]], "File Scrubbing": [[38, "file-scrubbing"]], "Sample Data": [[38, "sample-data"]], "Other input data": [[38, "other-input-data"]], "Intan RHD USB": [[39, "intan-rhd-usb"]], "Streams data from an Intan RHD USB Interface Board.": [[39, "id1"]], "LFP Viewer": [[40, "lfp-viewer"]], "\u201cLFP\u201d stands for \u201clocal field potential,\u201d but this visualizer can display any type of continuous signal. It\u2019s an essential plugin for monitoring overall data quality before and during an experiment. Each LFP Viewer can display up to 3 segments of data at a time, either side-by-side or stacked on top of one another.": [[40, "id1"]], "Layout selection": [[40, "layout-selection"]], "Main options": [[40, "main-options"]], "Additional options": [[40, "additional-options"]], "Thresholds": [[40, "thresholds"]], "Channels": [[40, "channels"]], "Signals": [[40, "signals"]], "Triggered display": [[40, "triggered-display"]], "Single-channel mode": [[40, "single-channel-mode"]], "Audio monitoring": [[40, "audio-monitoring"]], "LSL Inlet": [[41, "lsl-inlet"]], "Streams continuous data from devices that use the Lab Streaming Layer protocol. See the Lab Streaming Layer documentation for more information about this library and a list of supported devices.": [[41, "id1"]], "Latency Histogram": [[42, "latency-histogram"]], "Displays latencies between events on two TTL lines as a histogram.": [[42, "id1"]], "MATLAB Interface": [[43, "matlab-interface"]], "Streams one channel of continuous data from the Open Ephys GUI to a live Matlab session. A Matlab API allows seamless processing of the incoming data in real time.": [[43, "id1"]], "Matlab API": [[43, "matlab-api"]], "Mean Spike Rate": [[44, "mean-spike-rate"]], "Estimates the mean spike rate across time and channels. Uses an exponentially weighted moving average to estimate a temporal mean (with adjustable time constant), and averages the rate across selected spike channels (electrodes). Outputs the resulting rate onto a selected continuous channel (overwriting its contents).": [[44, "id1"]], "Merger": [[45, "merger"]], "Allows multiple signal chains to be combined into one.": [[45, "id2"]], "Recommended usage": [[45, "recommended-usage"], [70, "recommended-usage"]], "Multi-Band Integrator": [[46, "multi-band-integrator"]], "Mixes, weights, and applies a rolling average to bandwidths of interest on a single channel. This plugin can be used to trigger feedback based on neural oscillations.": [[46, "id1"]], "NI-DAQmx": [[47, "ni-daqmx"]], "Streams analog and digital data from National Instruments (NI) hardware. Use multiple instances of this plugin to acquire data from several PXI-, PCI-, and/or USB-based NI devices simultaneously. Can be used in tandem with the Neuropix-PXI plugin, to read in analog and digital inputs in parallel with Neuropixels data.": [[47, "id2"]], "Requirements": [[47, "requirements"]], "Compatible hardware": [[47, "compatible-hardware"]], "Connecting to your NIDAQ hardware": [[47, "connecting-to-your-nidaq-hardware"]], "Offline Synchronization": [[47, "offline-synchronization"]], "Online Synchronization": [[47, "online-synchronization"]], "NIDAQ Device Comparison": [[47, "nidaq-device-comparison"]], "PXI-6133": [[47, "pxi-6133"]], "PXIe-6341": [[47, "pxie-6341"]], "PXI-6521": [[47, "pxi-6521"]], "PCIe-6321": [[47, "pcie-6321"]], "USB-6001": [[47, "usb-6001"]], "Network Events": [[48, "network-events"]], "Adds TTL events via a network connection.": [[48, "id1"]], "Remote control commands": [[48, "remote-control-commands"]], "Other commands": [[48, "other-commands"]], "Example Code": [[48, "example-code"], [75, "example-code"]], "Matlab": [[48, "matlab"]], "Python": [[48, "python"]], "Neuropixels CAR": [[49, "neuropixels-car"]], "A common average reference optimized for use with Neuropixels probes.": [[49, "id1"]], "Neuropixels sampling pattern": [[49, "neuropixels-sampling-pattern"]], "Neuropixels PXI": [[50, "neuropixels-pxi"]], "Streams data from a PXI-based Neuropixels data acquisition system.": [[50, "id1"]], "Compatible probes": [[50, "compatible-probes"]], "Connecting to the PXI system": [[50, "connecting-to-the-pxi-system"]], "Troubleshooting connections": [[50, "troubleshooting-connections"]], "Calibrating probes": [[50, "calibrating-probes"]], "Configuring probe settings": [[50, "configuring-probe-settings"]], "Reference selection": [[50, "reference-selection"]], "Activity view": [[50, "activity-view"]], "Saving, loading, and copying settings": [[50, "saving-loading-and-copying-settings"]], "Default loading and saving": [[50, "default-loading-and-saving"]], "Copying settings between probes": [[50, "copying-settings-between-probes"]], "IMRO files": [[50, "imro-files"]], "ProbeInterface JSON files": [[50, "probeinterface-json-files"]], "Plugin data streams": [[50, "plugin-data-streams"]], "Customizing stream names": [[50, "customizing-stream-names"]], "Synchronization settings": [[50, "synchronization-settings"]], "Simulation mode": [[50, "simulation-mode"]], "Built-in self tests": [[50, "built-in-self-tests"], [50, "id2"]], "Headstage tests": [[50, "headstage-tests"]], "Updating basestation firmware": [[50, "updating-basestation-firmware"]], "Remote control": [[50, "remote-control"], [53, "remote-control"], [62, "remote-control"], [81, "remote-control"]], "OE FPGA Acquisition Board": [[51, "oe-fpga-acquisition-board"]], "Streams data from an Open Ephys acquisition board with a custom FPGA designed by Open Ephys.": [[51, "id1"]], "OSC Events": [[52, "osc-events"]], "Converts Open Sound Control (OSC) messages into TTL events. This plugin was designed to provide a simple way to send information from Bonsai to the Open Ephys GUI, but it will work with any application that can send OSC messages.": [[52, "id1"]], "Bonsai configuration": [[52, "bonsai-configuration"]], "Online PSTH": [[53, "online-psth"]], "Aligns spike times to incoming TTL events in order to create a peri-stimulus time histogram displays for an unlimited number of conditions.": [[53, "id1"]], "Define the trigger conditions": [[53, "define-the-trigger-conditions"]], "Define the window size": [[53, "define-the-window-size"]], "Display options": [[53, "display-options"]], "Display type": [[53, "display-type"]], "Display layout": [[53, "display-layout"]], "Triggering methods": [[53, "triggering-methods"]], "Working with the Spike Sorter": [[53, "working-with-the-spike-sorter"]], "Phase Calculator": [[54, "phase-calculator"]], "Estimates the phase of a continuous input signal within a specified passband. It can be used to perform phase-specific closed-loop stimulation, typically in combination with the Crossing Detector.": [[54, "id1"]], "Defining the frequency band of interest": [[54, "defining-the-frequency-band-of-interest"]], "Visualization": [[54, "visualization"], [66, "visualization"]], "Phase Detector": [[55, "phase-detector"]], "Emits events when a continuous signal arrives at a specific \u201cphase,\u201d which really means a peak, falling zero-crossing, trough, or rising zero-crossing. It was originally designed to trigger output at specific phases of an 8 Hz theta oscillation in mouse hippocampus.": [[55, "id1"]], "Probe Viewer": [[56, "probe-viewer"]], "Visualizes the signal from a linear probe as a heatmap (time x channels). Can be configured to show RMS signal, spike rate, or power in different frequency bands.": [[56, "id1"]], "Render modes": [[56, "render-modes"]], "Channel ordering": [[56, "channel-ordering"]], "Anatomy overlay": [[56, "anatomy-overlay"]], "Via Pinpoint": [[56, "via-pinpoint"]], "Via Neuropixels Trajectory Explorer": [[56, "via-neuropixels-trajectory-explorer"]], "Via config messages": [[56, "via-config-messages"]], "Pulse Pal": [[57, "pulse-pal"]], "Triggers the outputs of a Pulse Pal, an open-source pulse train generator developed and sold by Sanworks.": [[57, "id1"]], "Hardware Requirements": [[57, "hardware-requirements"]], "Trigger and gate channels": [[57, "trigger-and-gate-channels"]], "Pulse train settings": [[57, "pulse-train-settings"]], "Python Processor": [[58, "python-processor"]], "Modifies incoming continuous data and handles events and spikes using custom code written in Python.": [[58, "id1"]], "Setting up a Python environment": [[58, "setting-up-a-python-environment"]], "Setting the Python Interpreter Path": [[58, "setting-the-python-interpreter-path"]], "Creating & loading a Python Module": [[58, "creating-loading-a-python-module"]], "Limitations": [[58, "limitations"]], "RHD Rec Controller": [[59, "rhd-rec-controller"]], "Streams up to 1024 channels from an Intan RHD Recording Controller.": [[59, "id1"]], "Rec Controller Output": [[60, "rec-controller-output"]], "Triggers the digital output lines of an Intan RHD Recording Controller.": [[60, "id1"]], "Record Control": [[61, "record-control"]], "Allows recording to be automatically toggled on and off by TTL inputs, instead of manually pressing the record button. It can be used to trigger recording using external TTLs, or based on events that are detected in a continuous data stream.": [[61, "id1"]], "Trigger modes": [[61, "trigger-modes"]], "Record Node": [[62, "record-node"]], "Saves incoming continuous signals, spikes, and events.": [[62, "id1"]], "Recording directory": [[62, "recording-directory"]], "Data format": [[62, "data-format"]], "Selecting channels to record": [[62, "selecting-channels-to-record"]], "Selecting data types to record": [[62, "selecting-data-types-to-record"]], "Synchronizer settings": [[62, "synchronizer-settings"]], "Rhythm Plugins": [[63, "rhythm-plugins"]], "Ripple Detector": [[64, "ripple-detector"]], "Emits a TTL event when a hippocampal ripple is detected on one continuous channel.": [[64, "id1"]], "Ripple detection algorithm": [[64, "ripple-detection-algorithm"]], "River Output": [[65, "river-output"]], "Streams spikes and events to a Redis database with very low latency.": [[65, "id1"]], "Background": [[65, "background"]], "Database setup": [[65, "database-setup"]], "Creating a new table": [[65, "creating-a-new-table"]], "Reading data out": [[65, "reading-data-out"]], "Spectrum Viewer": [[66, "spectrum-viewer"]], "Displays the real-time power spectrum for up to 8 continuous channels.": [[66, "id1"]], "Power Spectrum": [[66, "power-spectrum"]], "Spectrogram": [[66, "spectrogram"]], "Spike Detector": [[67, "spike-detector"]], "Detects spikes in continuous data and packages them as events that can be read by downstream processors.": [[67, "id1"]], "Creating electrodes": [[67, "creating-electrodes"]], "Adjusting parameters": [[67, "adjusting-parameters"]], "Thresholding algorithms": [[67, "thresholding-algorithms"]], "Spike Sorter": [[68, "spike-sorter"]], "Sorts spikes based on manually drawn boxes in waveform space, or boundaries in PCA space. Must be used in combination with a plugin that generates spikes, such as the Spike Detector.": [[68, "id1"]], "Spike Viewer": [[69, "spike-viewer"]], "Displays spikes that have been detected by an upstream plugin.": [[69, "id1"]], "Changing electrode display size": [[69, "changing-electrode-display-size"]], "Splitter": [[70, "splitter"]], "Creates a copy of all incoming continuous channels, spikes, and events, allowing data to be processed independently along two branches of the signal chain.": [[70, "id2"]], "TTL Panels": [[71, "ttl-panels"]], ".": [[71, "id1"]], "Understanding TTL events": [[71, "understanding-ttl-events"]], "Plugin Usage": [[71, "plugin-usage"]], "TTL Toggle Panel": [[71, "ttl-toggle-panel"]], "TTL Display Panel": [[71, "ttl-display-panel"]], "Tracking Plugin": [[72, "tracking-plugin"]], "Enables closed-loop stimulation based on position information streamed from Bonsai.": [[72, "id1"]], "Setting up Bonsai": [[72, "setting-up-bonsai"]], "Tracking sources": [[72, "tracking-sources"]], "Creating ROIs": [[72, "creating-rois"]], "Virtual Reference": [[73, "virtual-reference"]], "Makes it possible to reference any combination of channels to any other.": [[73, "id1"]], "XDAQ": [[74, "xdaq"]], "Streams data from XDAQ Systems.": [[74, "id1"]], "ADC selection": [[74, "adc-selection"]], "ZMQ Interface": [[75, "zmq-interface"]], "Sends continuous data, events, and spikes to external applications using the ZeroMQ library, making it possible to create advanced visualization and monitoring add-ons, such as OPETH": [[75, "id1"]], "Using multiple plugins": [[75, "using-multiple-plugins"]], "Heartbeat messages": [[75, "heartbeat-messages"]], "Data Packets": [[75, "data-packets"]], "Message Envelope": [[75, "message-envelope"]], "Message Header": [[75, "message-header"]], "Event data": [[75, "event-data"]], "Spike data": [[75, "spike-data"]], "Message Data": [[75, "message-data"]], "Plugins": [[76, "plugins"]], "Types of Plugins": [[76, "types-of-plugins"]], "General Plugin Features": [[76, "general-plugin-features"]], "Plugin Installer": [[76, "plugin-installer"]], "Installing Plugins": [[76, "installing-plugins"]], "Upgrading / Downgrading": [[76, "upgrading-downgrading"]], "Uninstalling Plugins": [[76, "uninstalling-plugins"]], "Binary Format": [[77, "binary-format"]], "This is the default format for the Open Ephys GUI. Continuous data is stored in flat binary files, and everything else is in JSON / numpy format. Takes advantage of widely used open standards to maximize compatibility with existing and future analysis tools.": [[77, "id1"]], "File organization": [[77, "file-organization"], [78, "file-organization"], [79, "file-organization"]], "Format details": [[77, "format-details"], [78, "format-details"], [79, "format-details"]], "Continuous": [[77, "continuous"], [78, "continuous"], [79, "continuous"]], "Events": [[77, "events"], [78, "events"], [79, "events"]], "Text events": [[77, "text-events"]], "Reading data in Python": [[77, "reading-data-in-python"], [78, "reading-data-in-python"], [79, "reading-data-in-python"]], "Reading data in Matlab": [[77, "reading-data-in-matlab"], [78, "reading-data-in-matlab"], [79, "reading-data-in-matlab"]], "NWB Format": [[78, "nwb-format"]], "This is a data format based on the NWB 2.X specification. It replaces the deprecated NWB 1.0 format. Since it is not available by default, it must be downloaded via the GUI\u2019s Plugin Installer.": [[78, "id1"]], "Open Ephys Format": [[79, "open-ephys-format"]], "This is the original format used by the Open Ephys GUI. It is designed with redundancy in mind, so that data can be readily recovered even if the GUI crashes during acquisition. However, because data for each electrode is stored in a separate file, it doesn\u2019t scale to high channel count recordings. All files are stored in a single directory, with the file names used to identify the data source.": [[79, "id1"]], "Headers": [[79, "headers"]], "Recording data": [[80, "recording-data"]], "Global recording options": [[80, "global-recording-options"]], "Available data formats": [[80, "available-data-formats"]], "Using the Record Node": [[80, "using-the-record-node"]], "General Configuration": [[80, "general-configuration"]], "Recording Continuous Channels": [[80, "recording-continuous-channels"]], "Recording Events": [[80, "recording-events"]], "Recording Spikes": [[80, "recording-spikes"]], "Multiple Record Nodes": [[80, "multiple-record-nodes"]], "Synchronizer": [[80, "synchronizer"]], "Start/stop acquisition and recording": [[81, "start-stop-acquisition-and-recording"]], "Get/set recording configuration": [[81, "get-set-recording-configuration"]], "Get information about the signal chain": [[81, "get-information-about-the-signal-chain"]], "Send a configuration message to a specific processor": [[81, "send-a-configuration-message-to-a-specific-processor"]], "Broadcast a message to all processors": [[81, "broadcast-a-message-to-all-processors"]], "Close the GUI remotely": [[81, "close-the-gui-remotely"]], "What\u2019s new in version 0.6.x": [[82, "what-s-new-in-version-0-6-x"]], "Stream-based processing": [[82, "stream-based-processing"]], "Fewer built-in plugins": [[82, "fewer-built-in-plugins"]], "Audio Monitor plugin": [[82, "audio-monitor-plugin"]], "Event Translator plugin": [[82, "event-translator-plugin"]], "Consistent naming and numbering conventions": [[82, "consistent-naming-and-numbering-conventions"]], "Settings file format": [[82, "settings-file-format"]], "Built-in HTTP server": [[82, "built-in-http-server"]], "User Manual": [[83, "user-manual"]]}, "indexentries": {"broadcastmessage (c++ function)": [[6, "_CPPv416broadcastMessage6String"], [8, "_CPPv416broadcastMessage6String"]], "createeditor (c++ function)": [[6, "_CPPv412createEditorP10SourceNode"]], "foundinputsource (c++ function)": [[6, "_CPPv416foundInputSourcev"]], "handlebroadcastmessage (c++ function)": [[6, "_CPPv422handleBroadcastMessage6String"], [8, "_CPPv422handleBroadcastMessage6String"]], "handleconfigmessage (c++ function)": [[6, "_CPPv419handleConfigMessage6String"], [8, "_CPPv419handleConfigMessage6String"]], "startacquisition (c++ function)": [[6, "_CPPv416startAcquisitionv"], [8, "_CPPv416startAcquisitionv"]], "stopacquisition (c++ function)": [[6, "_CPPv415stopAcquisitionv"], [8, "_CPPv415stopAcquisitionv"]], "updatebuffer (c++ function)": [[6, "_CPPv412updateBufferv"]], "updatesettings (c++ function)": [[6, "_CPPv414updateSettingsP10OwnedArrayI17ContinuousChannelEP10OwnedArrayI12EventChannelEP10OwnedArrayI12SpikeChannelEP10OwnedArrayI10DataStreamEP10OwnedArrayI10DeviceInfoEP10OwnedArrayI19ConfigurationObjectE"], [8, "_CPPv414updateSettingsv"]], "fillrecordinfo (c++ function)": [[7, "_CPPv414fillRecordInfov"]], "open (c++ function)": [[7, "_CPPv44open4File"]], "processchanneldata (c++ function)": [[7, "_CPPv418processChannelDataP5int16Pfi5int64"]], "processeventdata (c++ function)": [[7, "_CPPv416processEventDataR9EventInfo5int645int64"]], "readdata (c++ function)": [[7, "_CPPv48readDataP5int16i"]], "seekto (c++ function)": [[7, "_CPPv46seekTo5int64"]], "updateactiverecord (c++ function)": [[7, "_CPPv418updateActiveRecordi"]], "coreservices::sendstatusmessage (c++ function)": [[8, "_CPPv4N12CoreServices17sendStatusMessageE6String"], [11, "_CPPv4N12CoreServices17sendStatusMessageERK6String"]], "addintparameter (c++ function)": [[8, "_CPPv415addIntParameterN9Parameter14ParameterScopeERK6StringRK6Stringiii"]], "addttlchannel (c++ function)": [[8, "_CPPv413addTTLChannel6String"]], "addtextboxparametereditor (c++ function)": [[8, "_CPPv425addTextBoxParameterEditorRK6Stringii"]], "checkforevents (c++ function)": [[8, "_CPPv414checkForEventsb"]], "flipttlstate (c++ function)": [[8, "_CPPv412flipTTLStateii"]], "getnumsamplesinblock (c++ function)": [[8, "_CPPv420getNumSamplesInBlock6uint16"]], "getparameter (c++ function)": [[8, "_CPPv412getParameter6String"]], "getreadpointer (c++ function)": [[8, "_CPPv414getReadPointeri"]], "getwritepointer (c++ function)": [[8, "_CPPv415getWritePointeri"]], "handlespike (c++ function)": [[8, "_CPPv411handleSpike8SpikePtr"]], "handlettlevent (c++ function)": [[8, "_CPPv414handleTTLEvent11TTLEventPtr"]], "loadcustomparametersfromxml (c++ function)": [[8, "_CPPv427loadCustomParametersFromXmlP10XmlElement"]], "parametervaluechanged (c++ function)": [[8, "_CPPv421parameterValueChangedP9Parameter"]], "process (c++ function)": [[8, "_CPPv47process11AudioBufferIfE"]], "savecustomparameterstoxml (c++ function)": [[8, "_CPPv425saveCustomParametersToXmlP10XmlElement"]], "setttlstate (c++ function)": [[8, "_CPPv411setTTLStateiib"]], "npyfile (c++ function)": [[9, "_CPPv47NpyFile6String7NpyType"]], "sequentialblockfile (c++ function)": [[9, "_CPPv419SequentialBlockFilei"]], "closefiles (c++ function)": [[9, "_CPPv410closeFilesv"]], "getengineid (c++ function)": [[9, "_CPPv4NK11getEngineIdEv"]], "increaserecordcount (c++ function)": [[9, "_CPPv419increaseRecordCounti"]], "openfile (c++ function)": [[9, "_CPPv48openFile6String"]], "openfiles (c++ function)": [[9, "_CPPv49openFiles4Fileii"]], "writechannel (c++ function)": [[9, "_CPPv412writeChannel6uint64iP5int16i"]], "writecontinuousdata (c++ function)": [[9, "_CPPv419writeContinuousDataiiPKfPKdi"]], "writedata (c++ function)": [[9, "_CPPv49writeDataPKv6size_t"]], "writeevent (c++ function)": [[9, "_CPPv410writeEventiRK11EventPacket"]], "writespike (c++ function)": [[9, "_CPPv410writeSpikeiPK5Spike"]], "writetimestampsynctext (c++ function)": [[9, "_CPPv422writeTimestampSyncText6uint165int64f6String"]], "visualizer::loadcustomparametersfromxml (c++ function)": [[10, "_CPPv4N10Visualizer27loadCustomParametersFromXmlEP10XmlElement"]], "visualizer::savecustomparameterstoxml (c++ function)": [[10, "_CPPv4N10Visualizer25saveCustomParametersToXmlEP10XmlElement"]], "visualizereditor (c++ function)": [[10, "_CPPv416VisualizerEditorP16GenericProcessor6Stringi"]], "clear (c++ function)": [[10, "_CPPv45clearv"]], "createnewcanvas (c++ function)": [[10, "_CPPv415createNewCanvasv"]], "disable (c++ function)": [[10, "_CPPv47disablev"]], "enable (c++ function)": [[10, "_CPPv46enablev"]], "getrange (c++ function)": [[10, "_CPPv48getRangeR7XYRange"]], "loadvisualizereditorparameters (c++ function)": [[10, "_CPPv430loadVisualizerEditorParametersP10XmlElement"]], "paint (c++ function)": [[10, "_CPPv45paintR8Graphics"]], "plot (c++ function)": [[10, "_CPPv44plotNSt6vectorIfEENSt6vectorIfEE6Colourff8PlotType"]], "refresh (c++ function)": [[10, "_CPPv47refreshv"]], "refreshstate (c++ function)": [[10, "_CPPv412refreshStatev"]], "resized (c++ function)": [[10, "_CPPv47resizedv"]], "savevisualizereditorparameters (c++ function)": [[10, "_CPPv430saveVisualizerEditorParametersP10XmlElement"]], "setaxiscolour (c++ function)": [[10, "_CPPv413setAxisColour6Colour"]], "setbackgroundcolour (c++ function)": [[10, "_CPPv419setBackgroundColour6Colour"]], "setgridcolour (c++ function)": [[10, "_CPPv413setGridColour6Colour"]], "setinteractive (c++ function)": [[10, "_CPPv414setInteractive19InteractivePlotMode"]], "setrange (c++ function)": [[10, "_CPPv48setRangeR7XYRange"]], "show (c++ function)": [[10, "_CPPv44showv"]], "showgrid (c++ function)": [[10, "_CPPv48showGridb"]], "showxaxis (c++ function)": [[10, "_CPPv49showXAxisb"]], "showyaxis (c++ function)": [[10, "_CPPv49showYAxisb"]], "title (c++ function)": [[10, "_CPPv45title6String"]], "update (c++ function)": [[10, "_CPPv46updatev"]], "xlabel (c++ function)": [[10, "_CPPv46xlabel6String"]], "ylabel (c++ function)": [[10, "_CPPv46ylabel6String"]], "coreservices::recordnode::setrecordingdirectory (c++ function)": [[11, "_CPPv4N12CoreServices10RecordNode21setRecordingDirectoryE6Stringib"]], "coreservices::allrecordnodesaresynchronized (c++ function)": [[11, "_CPPv4N12CoreServices29allRecordNodesAreSynchronizedEv"]], "coreservices::createnewrecordingdirectory (c++ function)": [[11, "_CPPv4N12CoreServices27createNewRecordingDirectoryEv"]], "coreservices::getacquisitionstatus (c++ function)": [[11, "_CPPv4N12CoreServices20getAcquisitionStatusEv"]], "coreservices::getavailablerecordnodeids (c++ function)": [[11, "_CPPv4N12CoreServices25getAvailableRecordNodeIdsEv"]], "coreservices::getdefaultusersavedirectory (c++ function)": [[11, "_CPPv4N12CoreServices27getDefaultUserSaveDirectoryEv"]], "coreservices::getguiversion (c++ function)": [[11, "_CPPv4N12CoreServices13getGUIVersionEv"]], "coreservices::getrecordingdirectoryappendtext (c++ function)": [[11, "_CPPv4N12CoreServices31getRecordingDirectoryAppendTextEv"]], "coreservices::getrecordingdirectoryname (c++ function)": [[11, "_CPPv4N12CoreServices25getRecordingDirectoryNameEv"]], "coreservices::getrecordingdirectoryprependtext (c++ function)": [[11, "_CPPv4N12CoreServices32getRecordingDirectoryPrependTextEv"]], "coreservices::getrecordingparentdirectory (c++ function)": [[11, "_CPPv4N12CoreServices27getRecordingParentDirectoryEv"]], "coreservices::getrecordingstatus (c++ function)": [[11, "_CPPv4N12CoreServices18getRecordingStatusEv"]], "coreservices::getsavedstatedirectory (c++ function)": [[11, "_CPPv4N12CoreServices22getSavedStateDirectoryEv"]], "coreservices::getsoftwaresamplerate (c++ function)": [[11, "_CPPv4N12CoreServices21getSoftwareSampleRateEv"]], "coreservices::getsoftwaretimestamp (c++ function)": [[11, "_CPPv4N12CoreServices20getSoftwareTimestampEv"]], "coreservices::highlighteditor (c++ function)": [[11, "_CPPv4N12CoreServices15highlightEditorEP13GenericEditor"]], "coreservices::loadsignalchain (c++ function)": [[11, "_CPPv4N12CoreServices15loadSignalChainE6String"]], "coreservices::saverecoveryconfig (c++ function)": [[11, "_CPPv4N12CoreServices18saveRecoveryConfigEv"]], "coreservices::setacquisitionstatus (c++ function)": [[11, "_CPPv4N12CoreServices20setAcquisitionStatusEb"]], "coreservices::setrecordingdirectoryappendtext (c++ function)": [[11, "_CPPv4N12CoreServices31setRecordingDirectoryAppendTextE6String"]], "coreservices::setrecordingdirectorybasename (c++ function)": [[11, "_CPPv4N12CoreServices29setRecordingDirectoryBasenameE6String"]], "coreservices::setrecordingdirectoryprependtext (c++ function)": [[11, "_CPPv4N12CoreServices32setRecordingDirectoryPrependTextE6String"]], "coreservices::setrecordingparentdirectory (c++ function)": [[11, "_CPPv4N12CoreServices27setRecordingParentDirectoryE6String"]], "coreservices::setrecordingstatus (c++ function)": [[11, "_CPPv4N12CoreServices18setRecordingStatusEb"]], "coreservices::updatesignalchain (c++ function)": [[11, "_CPPv4N12CoreServices17updateSignalChainEP13GenericEditor"]], "__init__()": [[58, "init__"]], "add_python_event()": [[58, "add_python_event"]], "handle_spike()": [[58, "handle_spike"]], "handle_ttl_event()": [[58, "handle_ttl_event"]], "process()": [[58, "process"]], "start_acquisition()": [[58, "start_acquisition"]], "start_recording()": [[58, "start_recording"]], "stop_acquisition()": [[58, "stop_acquisition"]], "stop_recording()": [[58, "stop_recording"]]}}) \ No newline at end of file