{"payload":{"feedbackUrl":"https://github.com/orgs/community/discussions/53140","repo":{"id":413204790,"defaultBranch":"main","name":"blackmagic","ownerLogin":"djix123","currentUserCanPush":false,"isFork":true,"isEmpty":false,"createdAt":"2021-10-03T21:49:06.000Z","ownerAvatar":"https://avatars.githubusercontent.com/u/5787330?v=4","public":true,"private":false,"isOrgOwned":false},"refInfo":{"name":"","listCacheKey":"v0:1726447615.0","currentOid":""},"activityList":{"items":[{"before":"c5969ed1eeb88875a89a2b0615caaf497e0281c6","after":"b54f73ee8b2e2ef34bf26b31f8450290e375ee06","ref":"refs/heads/main_bm2","pushedAt":"2024-09-16T00:46:55.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"Add changes for DJIX BM v2","shortMessageHtmlLink":"Add changes for DJIX BM v2"}},{"before":"3413a296edc27b6af0038d91812787d61d0eed1a","after":"f1212c88a0f9248403f0ed0fbe77517650ac973f","ref":"refs/heads/main","pushedAt":"2024-09-14T01:19:34.000Z","pushType":"push","commitsCount":473,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"hosted/dap_command: Adjust for buggy CMSIS-DAP firmware that captures response bits 1 bit early in SWD sequences","shortMessageHtmlLink":"hosted/dap_command: Adjust for buggy CMSIS-DAP firmware that captures…"}},{"before":"00aed52844947ef87055c10904025269c62dbc1c","after":"3413a296edc27b6af0038d91812787d61d0eed1a","ref":"refs/heads/main","pushedAt":"2024-05-11T21:56:06.000Z","pushType":"push","commitsCount":487,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"ch579: Add links to references\n\nCo-authored-by: jediminer543 ","shortMessageHtmlLink":"ch579: Add links to references"}},{"before":"034b16ed8d4e45338ff3ce4ef63579adb8ff20c9","after":"c5969ed1eeb88875a89a2b0615caaf497e0281c6","ref":"refs/heads/main_bm2","pushedAt":"2023-12-21T20:25:50.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"Add changes for DJIX BM v2","shortMessageHtmlLink":"Add changes for DJIX BM v2"}},{"before":"4315ede4d1a843ea5c09ea9146b57da4f984f761","after":"00aed52844947ef87055c10904025269c62dbc1c","ref":"refs/heads/main","pushedAt":"2023-12-21T20:25:13.000Z","pushType":"push","commitsCount":78,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"misc: Fix typos\n\nSigned-off-by: Francois Berder ","shortMessageHtmlLink":"misc: Fix typos"}},{"before":"87714e5282b1dcc02b3866cbeb85d26ee7d7de3e","after":"034b16ed8d4e45338ff3ce4ef63579adb8ff20c9","ref":"refs/heads/main_bm2","pushedAt":"2023-11-13T15:16:14.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"Add changes for DJIX BM v2","shortMessageHtmlLink":"Add changes for DJIX BM v2"}},{"before":"a9697dd956af0f757f2a5ce8463f047525481e64","after":"4315ede4d1a843ea5c09ea9146b57da4f984f761","ref":"refs/heads/main","pushedAt":"2023-11-13T15:15:12.000Z","pushType":"push","commitsCount":5,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"hosted/jlink: Expect the last byte for JTAG3 result in a single packet\n\n* Asking for 1 byte less raises a libusb OVERFLOW error\n when using JLink V8 and newer with BMDA on Linux hosts\n after the proprietary software stack touches the adapter (but not before).\n* libusb docs recommend receiving into a bigger buffer (1028=512*2+4)\n and checking whether all of expected data got indeed received.\n* For firmwares which send that transaction-error byte in a separate packet,\n keep the second read call (V5 ones do this regardless).","shortMessageHtmlLink":"hosted/jlink: Expect the last byte for JTAG3 result in a single packet"}},{"before":"149962b526161f8d49c2c8556c2c472078f588ad","after":"87714e5282b1dcc02b3866cbeb85d26ee7d7de3e","ref":"refs/heads/main_bm2","pushedAt":"2023-10-31T13:36:42.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"Add changes for DJIX BM v2","shortMessageHtmlLink":"Add changes for DJIX BM v2"}},{"before":"41bce050ea2cb44bae509b6ccd9daf9c59b569e3","after":"a9697dd956af0f757f2a5ce8463f047525481e64","ref":"refs/heads/main","pushedAt":"2023-10-31T13:34:36.000Z","pushType":"push","commitsCount":183,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"stm32f1: Modified the Flash routines to work with GD32VF103 parts too","shortMessageHtmlLink":"stm32f1: Modified the Flash routines to work with GD32VF103 parts too"}},{"before":"20cff7d31334ee6352596343c4a5adc5a97bd588","after":"149962b526161f8d49c2c8556c2c472078f588ad","ref":"refs/heads/main_bm2","pushedAt":"2023-10-25T15:11:39.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"Add changes for DJIX BM v2","shortMessageHtmlLink":"Add changes for DJIX BM v2"}},{"before":"0ca2fb2429cd9de2f84a5a0127c3ff4528d32aa2","after":"41bce050ea2cb44bae509b6ccd9daf9c59b569e3","ref":"refs/heads/main","pushedAt":"2023-10-25T15:11:06.000Z","pushType":"push","commitsCount":4,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"platforms/stlink: add support for forcing ST-Link v2 clone detection\n\nOne of the ST-Link v2 clones I have (labelled \"MINI ST-Link v2\", \"A\"\non one side of the PCB and \"QYF-0685\" on the other) gets detected as\nhardware version 1 rather than as a v2 clone (hardware version\n257). It has PC13 pulled low via a 4.7kΩ resistor and PB11 connected\nto PB10 rather than pulled up. PA15 seems to be unconnected.\n\nIt's hard to tell how other clones are wired and if PB10 is connected\nto something else on them. The safest choice is to provide a\ncompile-time option to force \"detection\" of a clone (so we use PB6 for\nnRST).","shortMessageHtmlLink":"platforms/stlink: add support for forcing ST-Link v2 clone detection"}},{"before":"7910ea785df1adb42d3790ace4e127c91207aa8c","after":"20cff7d31334ee6352596343c4a5adc5a97bd588","ref":"refs/heads/main_bm2","pushedAt":"2023-10-07T23:17:36.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"Add changes for DJIX BM v2","shortMessageHtmlLink":"Add changes for DJIX BM v2"}},{"before":"85b94636eaf3fd153406a954f89dc5a15f122984","after":"0ca2fb2429cd9de2f84a5a0127c3ff4528d32aa2","ref":"refs/heads/main","pushedAt":"2023-10-07T23:16:34.000Z","pushType":"push","commitsCount":6,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"misc: update documentation to replace deprecated command","shortMessageHtmlLink":"misc: update documentation to replace deprecated command"}},{"before":"c5fb5648e89d8c40b1759d28eb74340c43d7eed8","after":"7910ea785df1adb42d3790ace4e127c91207aa8c","ref":"refs/heads/main_bm2","pushedAt":"2023-10-03T15:12:32.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"Add changes for DJIX BM v2","shortMessageHtmlLink":"Add changes for DJIX BM v2"}},{"before":"08583c1e1d2182d8cf4db72ff454c1b120a7c488","after":"85b94636eaf3fd153406a954f89dc5a15f122984","ref":"refs/heads/main","pushedAt":"2023-10-03T15:09:16.000Z","pushType":"push","commitsCount":5,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"adiv5: Added in escape route for (Tiva) cores that incorrectly report the same AP over and over","shortMessageHtmlLink":"adiv5: Added in escape route for (Tiva) cores that incorrectly report…"}},{"before":"32ed88d52e4c975c13f19178e893e29aa258989b","after":"c5fb5648e89d8c40b1759d28eb74340c43d7eed8","ref":"refs/heads/main_bm2","pushedAt":"2023-09-29T08:16:11.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"Add changes for DJIX BM v2","shortMessageHtmlLink":"Add changes for DJIX BM v2"}},{"before":"42f4a29e3e6947d7da8d29c5e73fb7721ed1a8be","after":"08583c1e1d2182d8cf4db72ff454c1b120a7c488","ref":"refs/heads/main","pushedAt":"2023-09-29T08:10:21.000Z","pushType":"push","commitsCount":39,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"native/platform: Switched `timer_set_oc_mode` to `timer_set_oc3_mode` for a nice Flash saving","shortMessageHtmlLink":"native/platform: Switched timer_set_oc_mode to timer_set_oc3_mode…"}},{"before":"c7ebdb7b33ae343dd2b8372c3b362973225d3b47","after":"42f4a29e3e6947d7da8d29c5e73fb7721ed1a8be","ref":"refs/heads/main","pushedAt":"2023-09-18T22:51:36.000Z","pushType":"push","commitsCount":1,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"rtt: fix hang when aux serial not read","shortMessageHtmlLink":"rtt: fix hang when aux serial not read"}},{"before":"7a1125986ba0de7acd7e6a373ea432320d261542","after":"32ed88d52e4c975c13f19178e893e29aa258989b","ref":"refs/heads/main_bm2","pushedAt":"2023-09-16T23:05:13.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"Add changes for DJIX BM v2","shortMessageHtmlLink":"Add changes for DJIX BM v2"}},{"before":"578eaaae750a9edb4287a7f760b7bdefdbaa6173","after":"c7ebdb7b33ae343dd2b8372c3b362973225d3b47","ref":"refs/heads/main","pushedAt":"2023-09-16T22:55:53.000Z","pushType":"push","commitsCount":4,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"hosted/ftdi_bmp: Fixed a bug in `ftdi_lookup_cable_by_product` resulting in a lookup failure if the cable type was specified on the command line","shortMessageHtmlLink":"hosted/ftdi_bmp: Fixed a bug in ftdi_lookup_cable_by_product result…"}},{"before":"915b527a30b77c629ff88d6b3e21dd29701666af","after":"7a1125986ba0de7acd7e6a373ea432320d261542","ref":"refs/heads/main_bm2","pushedAt":"2023-09-11T16:58:03.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"Add changes for DJIX BM v2","shortMessageHtmlLink":"Add changes for DJIX BM v2"}},{"before":"8e661a6887fd8d8dba459e08264d25406067656e","after":"578eaaae750a9edb4287a7f760b7bdefdbaa6173","ref":"refs/heads/main","pushedAt":"2023-09-11T16:54:29.000Z","pushType":"push","commitsCount":4,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"native/platform: Fixed some missing initialisation for older hardware","shortMessageHtmlLink":"native/platform: Fixed some missing initialisation for older hardware"}},{"before":"ab98716ee03fb0f6e70f403f03b0756a602e43b0","after":"915b527a30b77c629ff88d6b3e21dd29701666af","ref":"refs/heads/main_bm2","pushedAt":"2023-09-09T18:48:01.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"Add changes for DJIX BM v2","shortMessageHtmlLink":"Add changes for DJIX BM v2"}},{"before":"c7c209ac7c798015cbbe2fead198f838dfd8a769","after":"8e661a6887fd8d8dba459e08264d25406067656e","ref":"refs/heads/main","pushedAt":"2023-09-09T18:36:52.000Z","pushType":"push","commitsCount":4,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"mm32: support for mm32spin05","shortMessageHtmlLink":"mm32: support for mm32spin05"}},{"before":"745ed2e067b212666ce5eb3efc9ec26837b2efea","after":"c7c209ac7c798015cbbe2fead198f838dfd8a769","ref":"refs/heads/main","pushedAt":"2023-08-28T18:34:48.000Z","pushType":"push","commitsCount":307,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"nrf91: Add initial support.","shortMessageHtmlLink":"nrf91: Add initial support."}},{"before":"cc08e04a235eece906c7b4fa63501ecdc3c9b9d0","after":"ab98716ee03fb0f6e70f403f03b0756a602e43b0","ref":"refs/heads/main_bm2","pushedAt":"2023-07-10T23:40:35.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"Add changes for DJIX BM v2","shortMessageHtmlLink":"Add changes for DJIX BM v2"}},{"before":"799a4088e6c98fcbd977d9c3f2036bef4ba1e9b6","after":"745ed2e067b212666ce5eb3efc9ec26837b2efea","ref":"refs/heads/main","pushedAt":"2023-07-10T23:40:09.000Z","pushType":"push","commitsCount":2,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"imxrt: correct logic of debug guard\n\nThe previous patch accidentally had `||` rather than `&&`, resulting in\nbuild errors when enabling debug mode on certain hardware targets.\nCorrect this logic in order to fix builds with certain other platforms.\n\nSigned-off-by: Sean Cross ","shortMessageHtmlLink":"imxrt: correct logic of debug guard"}},{"before":"47f1476ff5278acf1ae7c0ccda3f110f3b1d0ee9","after":"cc08e04a235eece906c7b4fa63501ecdc3c9b9d0","ref":"refs/heads/main_bm2","pushedAt":"2023-07-09T22:33:40.000Z","pushType":"force_push","commitsCount":0,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"Add changes for DJIX BM v2","shortMessageHtmlLink":"Add changes for DJIX BM v2"}},{"before":"0f65c997c528ce66a70a5bb86633d14b0b512939","after":"799a4088e6c98fcbd977d9c3f2036bef4ba1e9b6","ref":"refs/heads/main","pushedAt":"2023-07-08T23:38:44.000Z","pushType":"push","commitsCount":20,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"common: Fixed up the naming of the bitbanging routines that use target_clk_divider so they continue to make sense","shortMessageHtmlLink":"common: Fixed up the naming of the bitbanging routines that use targe…"}},{"before":"aa4e519f74089b18eba330ce83e4e0d49f1f6703","after":"0f65c997c528ce66a70a5bb86633d14b0b512939","ref":"refs/heads/main","pushedAt":"2023-07-04T20:06:23.000Z","pushType":"push","commitsCount":2,"pusher":{"login":"djix123","name":null,"path":"/djix123","primaryAvatarUrl":"https://avatars.githubusercontent.com/u/5787330?s=80&v=4"},"commit":{"message":"hosted/cmsis_dap: Corrected for the change in where `info` comes from in dap_init()","shortMessageHtmlLink":"hosted/cmsis_dap: Corrected for the change in where info comes from…"}}],"hasNextPage":true,"hasPreviousPage":false,"activityType":"all","actor":null,"timePeriod":"all","sort":"DESC","perPage":30,"startCursor":"Y3Vyc29yOnYyOpK7MjAyNC0wOS0xNlQwMDo0Njo1NS4wMDAwMDBazwAAAAS28RLK","endCursor":"Y3Vyc29yOnYyOpK7MjAyMy0wNy0wNFQyMDowNjoyMy4wMDAwMDBazwAAAANOuK2S"}},"title":"Activity · djix123/blackmagic"}