Skip to content

Ci update

Ci update #93

Workflow file for this run

name: Tests
on:
push:
branches:
- master
pull_request:
branches:
- master
- development
jobs:
tests:
name: Python ${{matrix.python-version}} | ${{matrix.sim}}
runs-on: ubuntu-22.04
env:
SIM: ${{matrix.sim}}
strategy:
fail-fast: false
matrix:
include:
- sim: verilator
sim-version: v5.020
python-version: '3.10'
steps:
- uses: actions/checkout@v3
- name: Set up Anaconda ${{matrix.python-version}}
uses: conda-incubator/setup-miniconda@v2
with:
auto-update-conda: true
python-version: ${{matrix.python-version}}
- name: Install Conda dependencies
shell: bash -l {0}
run: |
conda info -a
conda install coloredlogs numba numpy matplotlib pyyaml pytables pytest tqdm scipy
- name: Install basil from repo
shell: bash -l {0}
run: |
git clone -b master --depth 1 https://github.com/SiLab-Bonn/basil.git; cd basil; python setup.py develop; cd ..;
- name: Install Python dependencies
shell: bash -l {0}
run: |
pip install cocotb cocotb-bus
- name: Install Verilator
if: matrix.sim == 'verilator'
run: |
sudo apt install -y --no-install-recommends make g++ perl python3 autoconf flex bison libfl2 libfl-dev zlibc zlib1g zlib1g-dev
git clone https://github.com/verilator/verilator.git -b ${{matrix.sim-version}}
cd verilator
autoconf
./configure
make -j $(nproc)
sudo make install
- name: Install package
shell: bash -l {0}
run: |
pip install -e .
- name: Test
shell: bash -l {0}
run: |
pytest tjmonopix2/tests/